H2U系列PLC指令及编程手册(V088) doc

Size: px
Start display at page:

Download "H2U系列PLC指令及编程手册(V088) doc"

Transcription

1 H2U 编程指令手册 P 1 H2U 系列可编程控制器 指令及编程手册 V0.88 深圳市汇川控制技术有限公司

2 H2U 编程指令手册 P 2 前 言 H2U 系列通用 PLC 是深圳市汇川控制技术有限公司研发的高性比控制产品, 指令丰富, 高速信号处理能力强, 运算速度快, 允许的用户程序容量可达 24K 步, 且不需外扩存储设备 控制器配备了两个通讯硬件端口, 方便现场接线 ; 通讯端口支持多种通讯协议, 包括 MODBUS 主站 从站协议, 尤其方便了与变频器等设备的联机控制 ; 提供了严密的用户程序保密功能, 子程序单独加密功能, 方便用户特有控制工艺的知识产权保护 控制器提供了多种编程语言, 用户可选用梯形图 指令表 步进梯形图 SFC 顺序功能图等鞭策方法 指令系统为广大工程技术人员所熟悉, 而本公司提供的 AutoShop 编程环境, 更是融合了众多 PLC 编程环境的优点, 丰富的在线帮助信息, 使得编程时无需查找说明资料, 方便易用 对高速信号的处理部分,MTQ 版本提供了六路高速脉冲输入 五路高速脉冲输出功能, 处理能力增强

3 H2U 编程指令手册 P 3 本 H2U 系列可编程控制器指令及编程手册 的知识产权属于深圳市汇川控制技术有限公司所有, 我公司会根据情况不断更新升级, 恕不另行通知, 欢迎用户读者随时访问我公司网站, 下载最新版本的手册与资料 我们热忱欢迎读者以多种形式咨询和交流使用方法, 反馈的手册中的错误和遗漏 公司网页 : 信息交流 :HCCONTROL@163.COM

4 H2U 编程指令手册 P 4 目 录 前言...2 手册版本信息...5 指令详解索引...7 手册快查引导 梯形图及梯形图程序 梯形图的编程特点 : 梯形图编程时使用的元件符号 : PLC 的执行原理 PLC 数值的基本知识 H2U 系列 PLC 的使用方法 使用 PLC 的软件硬件需求 编程与用户程序下载 与 HMI 的配合使用 软元件说明 输入继电器 X 输出继电器 Y 辅助继电器 M 状态继电器 S 计时器 T 计数器 C 寄存器 D 子程序与中断指针 P I 常数 K H 控制器软元件规格 逻辑指令表 STL/SFC 指令 STL 编程指令 SFC 顺序功能图编程 应用指令表 指令解释 基本指令解释 应用指令解释 附录 系统特殊软元件 : 出错信息说明 错误代码存贮 H2U 系列 PLC 内置 MODBUS 从站通讯协议说明 H2U 系列 3A 扩展小板使用说明 H2U 系列 MTQ 型与 MT 型的软件差别...193

5 H2U 编程指令手册 P 5 手册版本信息 版本 发布时间 修订说明 V 首次发布 V 修订系统变量说明增加 PLC 原理和基本知识介绍增加 MTQ 版本的使用说明

6 H2U 编程指令手册 P 6

7 H2U 编程指令手册 P 7 指令详解索引 简单逻辑指令应用指令 ( 以 FNC NO 为序 ) FNC NO. 指令助记符 功能 页码 LD 加载常开接点 63 LDI 加载常闭接点 LDP 取脉冲上升沿 LDF 取脉冲下降沿 ANDP 93 ANDF 94 ORP 95 ORF AND 串联常开接点 63 ANI 串联常闭接点 63 ANB 串联回路方块 64 与脉冲上升沿检测串 行连接 与脉冲 (F) 下降沿检测 串行连接 OR 并联常开接点 64 ORI 并联常闭接点 64 ORB 并联回路方块 64 或脉冲上升沿检测并 行连接 或脉冲 (F) 下降沿检测 并行连接 OUT 驱动线圈 65 SET 置位动作保存线圈 65 RST 接点或缓存器清除 66 PLS 脉冲上升沿检测线圈 66 PLF 脉冲下降沿检测线圈 66 MC MCR 主控公用串行接点用 线圈指令 主控复位公用串行接 点解除指令 MPS 存入堆栈 65 MRD 读出堆栈 65 MPP 读出堆栈 65 NOP 无动作 INV 运算结果取反 67 END 程序结束 67 P 指针 68 I 中断插入指针 68 分类 程 序 流 程 传 送 与 比 较 四 则 逻 辑 运 算 FNC NO. 指令助记符 功能 页码 00 CJ 条件跳转 CALL 子程序调用 SRET 子程序返回 IRET 中断返回 EI 中断许可 DI 中断禁止 FEND 主程序结束 WDT 监控定时器 FOR 循环范围开始 NEXT 循环范围终了 CMP 比较 ZCP 区域比较 MOV 传送 SMOV 移位传送 CML 倒转传送 BMOV 一并传送 FMOV 多点传送 XCH 交换 BCD BCD 转换 BIN BIN 转换 ADD BIN 加法 SUB BIN 减法 MUL BIN 乘法 DIV BIN 除法 INC BIN 加 l DEC BIN 减 l WAND 逻辑字与 WOR 逻辑字或 WXOR 逻辑字异或 NEG 求补码 83

8 H2U 编程指令手册 P 8 分类 循 环 移 位 数 据 处 理 高 速 处 理 FNC NO. 指令助记符 功能 页码 30 ROR 循环右移 ROL 循环左移 RCR 带进位循环右移 RCL 带进位循环左移 SFTR 位右移 SFTL 位左移 WSFR 字右移 WSFL 字左移 SFWR 移位写入 SFRD 移位读出 ZRST 批次复位 DECO 译码 ENCO 编码 SUM ON 位数 BON ON 位数判定 MEAN 平均值 ANS 信号报警位置 ANR 信号报警器复位 SQR BIN 开方 FLT 整数 浮点数转换 REF 输入输出刷新 REFF 滤波器调整 MTR 矩阵输入 HSCS 比较置位 ( 高速计数 器 ) 54 HSCR 比较复位 ( 高速计数 器 ) 55 HSZ 比较区间 ( 高速计数 器 ) 分类 方 便 指 令 外 围 设 备 I / O FNC NO. 指令助记符 60 IST --- 功能 页 码 61 SER 数据查找 ABSD 凸轮控制 ( 绝 对方式 ) 63 INCD 凸轮控制 ( 增 量方式 ) TTMR 示教定时器 STMR 特殊定时器 ALT 交替输出 RAMP 斜波信号 RTOC 旋转工作台 控制 SORT 数据排列 TKY 数字键输入 HKY 16 键输入 DSW 数字式开关 SEGD 7 段码译码 SEGL 7 段码扫描显 示 ARWS 方向开关 ASC ASCII 码转换 PR ASCII 码打印 输出 FROM BFM 读出 TO BFM 写入 SPD 脉冲密度 PLSY 脉冲输出 PWM 脉冲调制 PLSR 带加减速的脉冲输出 107

9 H2U 编程指令手册 P 9 分类 外 设 设 备 浮 点 数 定 位 FNC NO. 指令助记符 功能 页码 80 RS 串行数据传送 PRUN 8 进制位传送 ASCI HEX-ASCII 转换 HEX ASCII-HEX 转换 CCD 校验码 PID PIC 运算 ECMP 2 进制浮点数比较 EZCP 2 进制浮点数区间 比较 118 EBCD 2 进制 -10 进制浮 点数转换 119 EBIN 10 进制 -2 进制浮 点数转换 EADD 2 进制浮点数加法 ESUB 2 进制浮点数减法 EMUL 2 进制浮点数乘法 EDIV 2 进制浮点数除法 ESQR 2 进制浮点数开方 INT 2 进制浮点数 -BIN 整数转换 SIN 浮点数 SIN 运算 COS 浮点数 COS 运算 TAN 浮点数 TAN 运算 SWAP 上下字节变换 ABS ABS 位置数读取 ZRN 原点回归 PLSV 可变度脉冲输出 DRVI 相对定位 DRVA 绝对定位 161 分类 时 钟 连 算 外 围 设 FNC NO. 指令助记符 功能 页 码 160 TCMP 时钟数据的比较 TZCP 时钟数据区域比 较 TADD 时钟数据加法 TSUB 时钟数据减法 TRD 时钟数据读出 TWR 时钟数据写入 HOUR 计时器 GRY 格雷码变换 GBIN 格雷码逆变换 备 177 接 点 比 较 224 LD= (S1)=(S2) LD> (S1)>(S2) LD< (S1)<(S2) LD<> (S1)<>(S2) LD<= (S1)<=(S2) LD>= (S1)>=(S2) AND= (S1)=(S2) AND > (S1)>(S2) AND < (S1)<(S2) AND <> (S1)<>(S2) AND <= (S1)<=(S2) AND >= (S1)>=(S2) OR= (S1)=(S2) OR > (S1)>(S2) OR < (S1)<(S2) OR <> (S1)<>(S2) OR <= (S1)<=(S2) OR >= (S1)>=(S2) 173

10 H2U 编程指令手册 P 10 应用指令 ( 以指令助记符为序, 未含简单逻辑指令 ) 分类 A B C D 指令助记符 FNC NO. 功能 ABS 155 ABS 现在值读 出 ABSD 62 凸轮控制 ( 绝 对方式 ) 页码 ADD 20 BIN 加法 79 ALT 66 交替输出 115 AND= 232 (S1)=(S2) 172 AND> 233 (S1)>(S2) 172 AND< 234 (S1)<(S2) 172 AND<> 236 (S1)<>(S2) 172 AND<= 237 (S1)<=(S2) 172 AND>= 238 (S1)>=(S2) 172 ANR 47 信号报警复 位 ANS 46 信号报警置 位 ARWS 75 箭形开关 125 ASC 76 ASCII 码转换 127 ASCI 82 HEX ASCII 转换 137 BCD 18 BCD 转换 79 BIN 19 BIN 转换 79 BMOV 15 成批转换 77 BON 44 ON 位数判定 91 CALL 01 子程序调用 70 CCD 84 检验码 139 CJ 00 条件跳转 69 CML 14 取反传送 77 CMP 10 比较 74 COS 131 浮点数 COS 运 算 152 DEC 25 BIN 减 1 82 DECO 41 译码 89 DI 05 中断禁止 71 DIV 23 BIN 除法 81 DRVA 159 绝对定位 161 DRVI 158 相对定位 158 DSW 72 数字式开关 122 分类 E F 指令助记符 FNC NO. 功能 页 码 EADD 进制浮点数加法 147 EBCD 进制 -10 进制浮 点数转换 EBIN 进制 -2 进制浮 点数转换 ECMP 进制浮点数比较 145 EDIV 进制浮点数除法 149 EI 04 中断许可 71 EMUL 进制浮点数乘法 149 ENCO 42 编码 90 ESOR 进制浮点数开方 150 ESUB 进制浮点数减法 148 EZCP 进制浮点数区间 比较 145 FEND 06 主程序结束 72 FLT 49 BIN 整数 2 进制 浮点数转换 94 FMOV 16 多点传送 78 FOR 08 循环范围开始 73 FROM 78 BFM 读出 128 GBIN 171 格雷码逆变换 170 G GRY 170 格雷码变换 169 H HEX 83 ASCII-HEX 转换 138 HKY 键输入 120 HOUR 169 计时仪 168 HSCR 54 比较复位 ( 高速计 数器 ) INCD 63 比较置位 ( 高速计 数器 ) HSZ 55 区间比较 ( 高速计 数器 )

11 H2U 编程指令手册 P 11 分类 指令助记符 FNC NO. 功能 页 码 INC 24 BIN 加 1 82 分类 指令助记符 FNC NO. 功能 页码 SEGD 73 7 段码译码 123 I INCD 63 凸轮控制 ( 增量方 式 ) 112 SEGL 74 7 点码按时间 分割显示 124 INT 129 浮点数 - 整数转换 151 SER 61 数据查找 109 IRET 03 中断返回 71 SFRD 39 位移读出 88 LD= 224 (S1)=(S2) 171 SFTL 35 位左移 86 LD> 225 (S1)>(S2) 171 SFTR 34 位右移 85 L LD< 226 (S1)<(S2) 171 LD<> 228 (S1)<>(S2) 171 SFWR 38 移位写入 88 SIN 130 浮点数 SIN 运 151 LD<= 229 (S1)<=(S2) 171 LD>= 230 (S1)>=(S2) 171 S 算 SMOV 13 移位传送 75 MEAN 45 平均值 92 SORT 69 数据排列 118 M MOV 12 传送 75 MTR 52 矩阵输入 96 SPD 56 脉冲密度 104 SQR 48 BIN 开方 93 MUL 22 BIN 乘法 81 SRET 02 子程序返回 70 N NEG 29 求补码 83 NEXT 09 循环范围终了 72 STMR 65 特殊定时器 114 SUB 21 BIN 减法 80 OR= 240 (S1)=(S2) 172 SUM 43 ON 位数 91 OR > 241 (S1)>(S2) 172 SWAP 147 上下字节交 153 O OR < 242 (S1)<(S2) 172 OR <> 244 (S1)<>(S2) 172 换 TADD 162 时钟数据加 165 OR <= 245 (S1)<=(S2) 172 法 OR >= 248 (S1)>=(S2) 172 TAN 132 浮点数 TAN 运 153 PID 88 PID 运算 141 算 PLSV 157 可变速脉冲输出 157 TCMP 160 时钟数据比 163 PLSY 57 脉冲输出 105 较 P PLSR 59 有加减速脉冲输出 107 TKY 70 数字键输入 119 PR 77 ASCII 键打印输出 127 T0 79 BFM 写入 129 PRUN 81 8 进制输送 136 T TRD 166 时钟数据读 167 PWM 58 脉冲幅度调整 107 出 RAMP 67 斜坡信号 115 TSUB 163 时钟数据减 166 RCL 33 带进位的循环左移 85 法 RCR 32 带进位的循环右移 85 TTMR 64 示教定时器 113 TWR 167 时钟数据写 167 R REF 50 输入输出刷新 94 REFF 51 滤波器调整 95 ROL 31 循环左移 84 入 TZCP 161 时钟数据区 间比较 164 ROR 30 循环右移 84 ROTC 68 旋转工作台控制 116 RS 80 串行数据输送 130

12 H2U 编程指令手册 P 12 分 类 W 指令助记符 FNC NO. 功能 页码 WDT 07 监控定时器 72 WOR 27 逻辑字或 83 WSFL 37 字左移 87 WSFR 36 字右移 87 WXCR 28 逻辑字异或 83 X XCH 17 交换 78 Z ZCP 11 区间比较 74 ZRN 156 原点回归 155 ZRST 40 原点回归批 次复位 89

13 H2U 编程指令手册 P 13 手册快查引导 您若有如下疑问, 可参照指引 : 序号 希望查阅的内容 请参阅页面 1 简单逻辑指令的解释 查阅 P55~P60 指令详解 2 应用指令的解释 先根据指令名查阅 P10~P12, 再详查指令详解 3 计时器的选用 查阅 3.5 节 4 高速计数器的选用 查阅 3.6 节 5 高速输出指令的使用 查阅指令 HSCS/HSCR/HSZ/PLSY/PLSV/PWM 6 输入中断的使用与设置 查阅 3.8 节 7 定时中断的使用与设置 查阅 3.8 节 8 高速计数中断的使用与设置 查阅 3.8 节 9 STL/SFC 的编程方法 查阅 5.2 节 10 通讯格式的设置方法 查阅 RS 指令详解 (P130~P136) 11 各种通讯协议的设置方法 查阅 RS 指令详解 (P130~P136) 12 如何使用 MODBUS 主站指令 查阅 RS 指令详解 (P130~P136) 13 如何用 MODBUS 访问 H2U MODBUS 内置从机协议定义 14 如何使用 H2U-3A-BD 扩展小板 查阅 8.5 附录 15 MTQ 的高速功能与使用 参见 8.6 附录, 查阅高速指令 16 脉冲捕捉功能 参见中断 P 说明 M8170~M8175 变量说明 17

14 ( 本页特意留空 ) H2U 编程指令手册 P 14

15 H2U 编程指令手册 P 梯形图及梯形图程序 1.1 梯形图的编程特点 : PLC 中梯形图编程方法是仿照继电器控制系统的电气原理设计的一种设计方法, 设计中使用的元件如按钮 X 中间继电器 M 时间继电器 T 计数器 C 触点等, 都和实际的电气元件的特性相似 梯形图中常用 触点 和 线圈 元件, 触点元件有 常开型 和 常闭型, 分别对应电工术语中的 A 接点 和 B 接点, 同一个继电器的 触点 可被无限次使用, 或者认为一个继电器 ( 无论中间继电器 M 时间继电器 T 计数器 C) 元件具有无限个 A 接点 和 B 接点 对于时间继电器 计数器, 具有线圈 ( 信号触发端 ) 和触点, 部分元件还具有掉电保持特性, 选择合适序号的元件, 以得到所需特性的元件 随着现代 PLC 的发展,PLC 不仅可以完成顺序逻辑控制功能, 还能完成数值计算功能, 如数值比较 四则运算 函数运算等, 数值宽度有 16bit 32bit 浮点等, 在 H2U 系列 PLC 中提供了大量的寄存器 D 元件, 可在梯形图程序中用于数值运算 梯形图的设计思想与传统继电器控制系统的设计方法基本相同, 以常见的电磁开关的电气原理为例 : 从图中可见,J1 为继电器或接触器,AN1 为启 动 J1 的按钮, 使用其常开接点 ; 而 AN2 为断开 J1 的按钮, 使用了其常闭接点 ; 另外使用了 AN1 AN2 X1 X2 H2U COM1 L J1 的常开型辅助触点作为状态保持用 若按上 Y1 J N 图右侧的梯形图编程, 按下图设计 PLC 的信号 COM 输入连接, 便可实现相同的起停控制功能了

16 H2U 编程指令手册 P 梯形图编程时使用的元件符号 : 梯形图设计中使用的元件符号及特性说明如下表, 通过将这些 触点 元件的 与 或 逻辑组合, 输出到元件 线圈 : 符号说明动作特性 触点元件, 代表元件的常开型触点, 有输入 X 信号触点 输出 Y 的触点 中间继电器 M 时间继电器 T 计数器 C 的输出触点等 对于 Y M T C 等元件, 在未动作状态也为 OFF 触点元件, 代表元件的常开型触点, 有输入 X 信号触点 输出 Y 的触点 中间继电器 M 时间继电器 T 计数器 C 的输出触点等 触点元件, 仅在触点的上升沿有效触点元件, 仅在触点的下降沿有效状态取反步进梯形图中表示 S 状态信号线圈元件, 在梯形图中是被激励的对象 X: 当 X 端口信号接点闭合时, 状态为 ON; 端口信号为断开状态时, 触点状态为 OFF Y: 当 Y 继电器的 线圈 得电时为 ON, 否则为 OFF Y 最后状态将对应于 PLC 的输出 Y 端口的状态 M: 当 M 继电器的 线圈 得电时为 ON, 否则为 OFF S: 当 S 作为普通标志元件使用时,S 继电器的 线圈 得电时为 ON, 否则为 OFF T: 当对应的时间继电器线圈得电, 且计时时间达到设定的时间, 状态为 ON; 否则为 OFF C: 当对应的计数器的读数达到设定的时间, 状态为 ON; 否则为 OFF 逻辑与状态刚好与的信号相反当触点元件 (XYM) 的状态由 OFF ON 的上升沿变化时, 该信号为有效, 这个触点信号在一个扫描周期内有效, 若下一状态不再变化, 该信号恢复为 OFF 当触点元件 (XYM) 的状态由 ON OFF 的下降沿变化时, 该信号为有效, 这个触点信号在一个扫描周期内有效, 若下一状态不再变化, 该信号恢复为 OFF 将当前信号点的状态进行取反状态元件号对应的状态有效时, 为 ON, 其他状态号则均为 OFF Y M 元件的线圈 得电 时, 其常开型触点动作闭合, 其常闭常闭型触点动作端口, 失电 时恢复原来状态 T 元件的线圈 得电 时, 开始计时, 失电 时恢复为默认状态 当计时时间达到设定值时, 其常开型触点动作闭合, 其常闭常闭型触点动作端口 C 元件的线圈 得电 的瞬间, 计数值增加 1, 当计数值达到设定值时, 其常开型触点动作闭合, 其常闭常闭型触点动作端口 清取其 线圈 的操作, 可使其计数值和触点恢复为默认状态 注意 : X 输入元件没有线圈, 用户程序不能修改其状态, 只由外部的用户线路决定其状态

17 H2U 编程指令手册 P 17 操作指令, 对元件或线圈 参数等进行操作 完成逻辑操作 数据处理等众多功能 如 RST Y0 SET M2 MOV K5 D100 JC P1 等指令 1.3 PLC 的执行原理 当编程人员将设计编译好的梯形图程序下载到 PLC 的内存后,PLC 便可以对用户程序进行扫描执行了 PLC 运行时, 主要进行执行 X 输入检测 用户程序扫描运算 其他元件的状态刷新 将 Y 状态缓存状态输出到 PLC 的 Y 硬件端口等, 这些工作内容周而复始的进行, 其中的扫描执行用户程序是 PLC 的核心工作, 过程如下例图 : 读取 X 端口状态 扫描执行梯形图程序 X1 网络 1 Y1 X2 (Y1) 网络 2 M1 Y1 (M3) X2 将运算的 Y/M/S 等元件状态存放于内部缓存区 T C 元件等刷新 将 Y 状态输出到硬件端口 每次执行用户程序前, 首先将 X 硬件端口的状态读取后存放到 X 变量缓存区 用户程序的扫描执行, 是以用户程序的网络为单元进行逐步演算的, 所谓 网络 是有联线关联的一组元件块, 参见上图中的两个网络 执行演算从第一个网络开始, 依次向下演算第二个 第三个, 直到最后一个网络 而对每个网络进行演算方式是, 则由左至右, 逐个将元件的 触点 状态进行逻辑计算综合, 直到最右边, 输出到元件的 线圈, 或根据逻辑决定是否执行某个操作 梯形图中, 左侧目前相当于电源的 火线, 其默认的 ( 电位 ) 状态为 ON, 每经过一个元件后, 逻辑运算结果暂存都被刷新, 有时也称中间计算暂存状态为 能流, 中间逻辑计算结果为 ON, 即 能流 为有效, 本网络的输出状态即为输出电的能流状态 ; 若最右端为操作类型, 若能流为有效, 就进行操作, 否则不进行操作

18 H2U 编程指令手册 P 18 PLC 上电 PLC 初始化, 工作缓存复位 系统初始化 PLC 主模块系统硬件检查 扩展模块检查 X 端口读取到映象区 Y 映象区及端口清除 Y 由 STOP RUN? 运行准备 WDG 复位 检查用户程序语法及完整性, 执行缓冲准备 N N 程序 OK? 用户程序执行 内务处理用户通讯内部标志刷新 X / C / T 用户中断可响应 X 脉冲捕捉可登记 通讯中断可响应 ERR 灯常亮 Y N RUN 位置? Y Y 定时扫描方式? N Y 扫描计时器复位 ;WDG 复位完整扫描执行用户程序计算扫描周期 WDG 复位用户计时器刷新用户通讯收发数据处理 定时扫描时间到? N 系统用寄存器和标志刷新处理 X/Y 端口刷新 Y 映象区输出到端口 X 端口读取到映象区 系统通讯 ( 联机通讯, 用户程序的下载 上载 监控等 ) 状态指示灯刷新系统通讯数据处理 由上至下, 直到主程序的所有网络都扫描执行完毕, 还有各定时器的刷新 例行的通讯 等数据的处理后,PLC 系统程序将 Y 寄存器缓存区的变量状态输出到 Y 硬件端口中 然后

19 H2U 编程指令手册 P 19 又开始下一轮的用户程序扫描, 如此周而复始, 直到控制用户执行的 RUN/STOP 开关被拨动到 STOP 位置为止 对于整个 PLC 而言, 其系统软件还需完成一些运行准备 系统通讯 中断处理等工作, 系统软件运行流程如上图所示 对于复杂的用户程序, 在系统扫描用户程序过程中, 还可以采用 中断 处理的方法响应 用户中断 信号, 对重要信号 ( 也有称重要 事件 ) 作及时处理 所谓 中断 处理, 就是 CPU 检测到特定信号时, 立即停下 ( 或中断 ) 当前的例行工作, 去执行特定的子程序, 子程序执行完毕, 才返回到先前被停下的工作点, 继续执行例行工作 中断信号的请求能得到及时的响应处理, 是 中断 功能的主要特点 在 PLC 中, 有高速信号输入 (X0~X5) 高速计数 定时等中断( 有时称为 用户中断 ), 还有通讯中断, 包括系统通讯 用户程序发起的通讯等 在 PLC 中, 各中断享有同一优先级, 但不同中断类型, 其允许区间稍有不同 ( 参见前页插图 ) 1.4 PLC 数值的基本知识 H2U 系列 PLC 内部采用高性能 32bit 作为核心处理器, 其工作原理与其他的计算机设备是相似的 所有的 CPU 处理器采用的都是二进制码作为内部处理数据的格式, 数据 在计算机内部是以 信号电平 的方式进行处理的, 其中信号电平只有 低 或 高 两个状态, 分别对应于二进制数的 0 或 1, 信号电路中不容易出现误判, 可确保处理结果的正确性 二进制数 二进制 用于计算机计算则是最简捷方便的进制, 对于 1 位数的计算有 : 0+0=0;0+1=1;1+0=1;1+1=10( 有进位, 此时需用 2 个位来表示 ) 这些计算只需用典型的 与 或 非 逻辑电路就可组合完成运算了

20 H2U 编程指令手册 P 20 当需要处理的数值比较大时, 就需用多个二进制位来表示, 位数越多, 可表示的数值越大, 现在常用的 CPU 位 (bit) 数有 : 位数可一次处理的最大数值应用说明 4bit 15 消费类简单产品中还有使用, 已很少 8bit 255 如 8051, 常用于简单的控制系统中 16bit 65,535 如 808x, 工业控制中有使用, 使用较少 32bit 4,294,967,295 如 ARM, 目前广泛应用于工控 消费类产品 64bit 通用计算机中使用位数少的 CPU, 并非不能处理大的数值, 只不过需要多次运算, 有时还需要编程人员熟悉算法 就像大车一次可以搬运的货物, 用小车就需要往返多次才能搬完, 车越小, 需要的次数越多, 耗时也越多 H2U 系列 PLC 元件中, 常用的数据宽度是 1Word( 即 16bit); 部分计数器为 2Word(32bit) 对于 16bit 的无符号数据, 用 2 进制表示的最大值为 1111,1111,1111,1111, 换算为十进制就是 65,535 十六进制当二进制数值小的时候, 尚能阅读, 当位数比较多的时候, 就比较难读难写了, 将每 4 位二进制数分成一组, 用 1 个数来代表, 就成了 16 进制数 (HEX); 一个 16bit 二进制数用 4 位十六进制数来表示, 易读性大为增加 在十六进制数中数值 10~15( 十进制 ) 的数, 分别以 A~F 的字符来代替 八进制由于传统习惯, 在计算机中, 以 8bit 宽度的数值 硬件端口数使用方式的为最多,8bit 被定义为 1Byte( 即 1 个字节 ); 在 PLC 中也 8 个硬件端口作为分组, 利于访问操作 ( 读或写 ), 如输入 X 端口 输出 Y 端口的编号就仍沿用八进制方式 八进制数是由 3 位二进制数组成的, 数字范围为 000~111, 即 0~7, 不可能存在 8 9 由于 CPU 一般为 bit 等, 但用于数据计算时, 一般还是用十六进制, 而不用八进制 十进制我们生活中习惯使用的数据是采用 十进制, 基本数字为 0~9 共 10 个数, 若 计数, 便进位处理得到 10

21 H2U 编程指令手册 P 21 日常生活中也有其他进制的, 如星期日 星期一. 星期六分别以数字 共 7 个数代表, 就可理解为 七进制, 只不过 七进制 不便于计算, 使用不多而已 BCD 码最符合人们阅读习惯的数字格式是十进制, 在人们监控或设置工作参数时, 往往需要采用十进制格式进行数据显示, 而计算机内部使用的是 HEX 格式, 故需采用一种底层为每 4 个二进制位组成一个数字位, 而每个数字位只能为十进制数的 0~9, 由此组成的数值, 这种格式数字在存储器中的编码称为 BCD 码 (Binary-Coded Decimal) 在 PLC 内部, 原理上用 4 位二进制数代表 1 位十进制数, 在每一位 BCD 码中, 不存在 HEX 格式中的 A~F 对于一个 8bit 宽度的寄存器单元, 能存储的最大 BCD 数只能是 99, 因此将 HEX 格式转换为 BCD 码后, 会占用更大的存储空间 十六进制数 B5 : bit7 bit0 转换为十进制数就为 181 B 5 以 BCD 码格式存储在寄存器的数据就是 : bit15 bit8 bit7 bit0 1( 百位 ) 8( 十位 ) 1( 个位 ) PLC 内部总是按 HEX 格式进行数据计算的, 在驱动非智能的显示设备 ( 如数码管 ) 显示数据之前, 往往需要将 PLC 内部的十六进制 (HEX) 格式数据先转换为 BCD 码, 然后进行显示输出 ; 将用户以十进制方式设置的参数存入 PLC 内存之前, 则往往需要将该 BCD 码转换为十六进制 (HEX) 格式 H2U 系列 PLC 内部提供了 HEX 与 BCD 两种格式相互转换的命令, 在需要进行显示输出, 或设置开关读取的时候, 执行该格式转换指令 人们在电脑显示器上看到的十进制读数, 都是经过了计算机自动作 BCD 转换后才显示的 ; 监控时修改的参数, 则是电脑软件作了 HEX 转换后写入的, 无需人为干预而已

22 H2U 编程指令手册 P 22 各种进制数的对照举例 : 二进制 八进制 十进制 十六进 BCD 码 二进制 八进制 十进制 十六进 BCD 码 BIN OCT DEC 制 HEX BIN OCT DEC 制 HEX A 不存 11 B 在 12 C D 不存 在 E F 进制的转换二进制 八进制 十六进制等进制的转换非常简单, 例如 8 位的二进制数 , 写成十六进制时, 从右向左按 4 位一组分为 1011,0101, 用十六进制表示为 B5 ; 写成八进制时, 从右向左按 3 位一组分为 10,110,101, 用八进制表示为 265 ; 要将二进制数换算为十进制数, 则计算要复杂很多, 最通用的方法可采用权重累加法, 从最右边一位开始计算 : 第 1 位 (bit0) 为 1 时, 权重为 1,( 即 2 0 ), 否则为 0; 第 2 位 (bit1) 为 1 时, 权重为 2,( 即 2 1 ), 否则为 0; 第 3 位 (bit2) 为 1 时, 权重为 4,( 即 2 2 ), 否则为 0; 第 4 位 (bit3) 为 1 时, 权重为 8,( 即 2 3 ), 否则为 0; 第 5 位 (bit4) 为 1 时, 权重为 16,( 即 2 4 ), 否则为 0; 第 6 位 (bit5) 为 1 时, 权重为 32,( 即 2 5 ), 否则为 0; 第 7 位 (bit6) 为 1 时, 权重为 64,( 即 2 6 ), 否则为 0; 第 8 位 (bit7) 为 1 时, 权重为 128,( 即 2 7 ), 否则为 0; 对于本例子中, 将 转换为十进制数即为 ( )=181 对于 16bit 转换为十进制, 如本例中的 B5, 也采用十六进制的权重累加法, 从最右边一 位开始计算 :

23 H2U 编程指令手册 P 23 第 1 位 HEX 数的权重为 1,( 即 16 0 ), 即该位的实际值 1; 第 2 位 HEX 数的权重为 16,( 即 16 1 ), 即该位的实际值 16; 第 3 位 HEX 数的权重为 256,( 即 16 2 ), 即该位的实际值 256; 第 4 位 HEX 数的权重为 4096,( 即 16 3 ), 即该位的实际值 4096;.. 对于本例子中, 将 B5 转换为十进制数即为 (B )=( )=181 读者熟悉了 HEX 转换为十进制的方法, 可先将二进制或八进制划分为十六进制 ( 每 4bit 一组 ), 然后再作十进制转换, 计算比较简捷 有符号数与无符号数 PLC 内部的数据可以进行四则运算, 运算结果可能产生负数, 这样的计算结果就产生了 有符号数, 事实上 H2U 内部的寄存器 D 32bit 计数器 C 的数据 所有四则和函数运算指令都可按 有符号数 进行运算操作 16bit 的 D 寄存器中最高位 (bit15) 便用于代表值的符号, 因此 D 寄存器值的取值范围是 -32,768~32,767 当用双字( 32bit,2 个连续的 D 寄存器 ) 表示一个数据时, 用最高位 ( bit31) 代表值的符号, 因此 D 寄存器值的取值范围是 -2,147,483,648~2,147,483,647 符号位如下图: 当符号位为 0 时, 表示为正数, 故 1Word 的正数是最大值为 HEX 格式的 H7FFF, 即 32767;2Word 的正数是最大值为 HEX 格式的 H7FFFFFFF, 即 2,147,483,647 当符号位为 1 时表示负数, 是其数值的补码, 其绝对值的计算方法是 先将有符号数逐位取反, 然后再加 1, 例如 HEX 格式的 HFFFF, 其绝对值 =H0000+1=1, 即 HFFFF 代表 -1; 又例如 HEX 格式的 H8000, 其绝对值 =H7FFF+1=32768, 即有符号数 H8000 代表 , 是 1Word 寄存器最小的负值 同理,2Word 的最小负值为有符号数 H , 即 -2,147,483,648 进行数值比较大的加减运算时, 要注意符号的处理, 尤其是出现进位或借位操作时, 要进行 借位标志 进位标志 的判断及相应处理, 否则可能导致计算结果出错 无符号数, 即没有符号位, 默认都为正数, 对于 1Word 的寄存器, 其取值范围是 0~65535, 有些计时 计数的应用场合, 就只有正数, 需按无符号数处理, 在作加减运算时, 需要防止计算结果溢出, 导致计算错误

24 H2U 编程指令手册 P 24 当进行逻辑运算时 ( 如 逻辑与 逻辑或 等运算指令 ), 是当无符号数进行处理的, 符号位 (bit15) 与其它位同等参与逻辑运算 浮点数浮点数在 PLC( 或计算机 ) 中用以近似表示任意实数, 具体格式是由一个整数或定点数 ( 即尾数 ) 乘以某个基数 ( 计算机中通常是 2) 的整数次幂, 这种表示方法类似于基数为 10 的科学记数法 一个浮点数可用 m b^e 来表示 其中 m 为尾数, 形如 ±d.ddd...dd;b 为基数 ;e 为指数 例如 用十进制浮点数表示就可为 , 因尾数有四舍五入, 精度有下降 但可以看到, 使用浮点数可表示更大范围的数值 由此可以看出, 在计算机中表示一个浮点数, 其结构如下 : 尾数部分 ( 定点小数 ) 阶码部分 ( 定点整数 ) 数符 ± 尾数 m 阶符 ± 阶码 e 在 PLC 或计算机内使用的浮点数, 都采用了国际标准的格式, 为了计算的方便, 仪表都采用二进制浮点数格式 一个浮点数占用 32bit 的存储器单元 实际使用浮点数时, 并不需要用户对浮点格式有特别的了解, 计算机会对输入的实数自动作标准格式化处理 浮点计算是指浮点数参与的运算, 这种运算通常伴随着因为无法精确表示而进行的近似或舍入 在 PLC 中有模拟量信号处理和运算时, 可能用到浮点数 H2U 系列 PLC 的内存结构对于微机或单片机的系统, 除了 CPU 内核以外, 各种特性的内存是其主要配置 H2U 系列 PLC 中有如下几种内存 : 类型用途特性 FLASH SRAM 保存系统程序保存用户程序文件寄存器数据用于存放 PLC 的软元件 工作数据 永久保存永久保存, 除非人为删除, 或下载刷新永久保存, 除非人为删除或改写有电池供电时, 即使外部停电时数据也不会丢失 如前所述,PLC 内的软元件有 位元件 ( 触点元件 ), 有 16bit 的 字元件 ( 寄存器 D 计数器 C 计数器 T 等 ), 还有 32bit 的 双字元件 ( 部分高速计数器 C), 在 PLC 内部如 下组织 :

25 H2U 编程指令手册 P 25 SRAM 工作数据区 bit15 bit0 FLASH 存储区 ( 永久保存 ) X Y X267 Y267 X0 Y0 SRAM 备份数据区 ( 电池备份 ) PLC 系统程序区用户不可改 T C S T255 C255 S999 T0 C0 S0 M0 位 (1bit) 元件区域 T255 C255 S999 T0 C0 S0 M0 M M3071 M8000 M3071 M8000 M8255 M8255 T T0 T255 16bit T0 T255 1 步 1000 步 8000 步 用户程序保存区 1/2 1 文件寄存器区 ( 可选 ) 2 用户程序保存区 2/2 1 程序注释区 ( 可选 ) 3 C 成批传送指令 D C0 C199 C200(32bit) D0 C255(32bit) D999 D1000 D7999 D8000 Z0 V0 Z7 V7 D bit 32bit 可作为文件寄存器区 系统用 停电或停机 STOP-->RUN C0 C199 C200(32bit) D0 C255(32bit) D999 D1000 D7999 D8000 Z0 V0 Z7 V7 D 步 ( 程序未用时为空 ) 系统配置信息区 系统工作数据缓存区用户无法访问 电池备份区有一部分变量默认为备份范围, 另外部分区间可由用户配置选择 当外部交流电源停电, 而电池电压消失, 电池备份数据也将丢失 用户程序保存区最大为 24K 步 (Word), 存放用户程序时可自动回避文件寄存器区 文件寄存器保存区可定义, 最大为 7K 步 (Word), 占用用户程序空间 用户程序注释保存区紧接梯形图程序, 空间大小由注释内容决定, 共享用户程序空间

26 H2U 编程指令手册 P H2U 系列 PLC 的使用方法 2.1 使用 PLC 的软件硬件需求 电脑一台 项目 配置要求 PENTIUM 100MHz 以上主频 ; 内存 256MB; 鼠标等 具有 DB9 型 RS232 串行通讯口,( 否则需准备 USB-RS232 转换器 ; 或 USB-Mini DIN8 型专用下载电缆 ) 运行 Windows 2000/XP 操作系统 ; 硬盘剩余空间不小于 200MB; AutoShop 编程软件 汇川控制技术公司开发的 AutoShop 软件, 安装于 PC 电脑 中, 用于用户程序的编写 下载 监控调试等 也可采用其 他兼容机型的编程环境 H2U PLC 主模块 下载电缆一条 H2U 系列 PLC 主模块一只, 可根据应用需要准备扩展模块 市售 RS232-Mini DIN8 插头的 PLC 程序下载专用电缆, 用 于用户程序的下载 调试 监控等, 还可用于 HMI 连接 对于没有配备 DB9 型 RS232 串口的电脑, 也可准备 USB-Mini DIN8 型专用下载电缆 电源联线和其他 用于 PLC 供电的电源线, 根据需要可准备导线 拨码开关 螺丝批等常用工具

27 H2U 编程指令手册 P 27 其中 AutoShop 编程软件为汇川控制技术公司研发的编程后台软件, 在该软件环境下, 可进行 H2U 系列 PLC 用户程序的编写 下载和监控等功能 2.2 编程与用户程序下载 AutoShop 环境提供了梯形图 步进梯形图 SFC 指令表等编程语言, 用户可选用自 己熟悉的编程语言进行编程, 根据 PLC 应用系统的控制工艺要求, 设计程序 编程过程中, 可随时进行按 编译, 及时检查和修正编程错误

28 H2U 编程指令手册 P 28 程序设计完毕, 在 PLC 和电脑正常连接, 并已通电的情况下, 按即可下载用户程序, 程序下载完毕, 将 PLC 上 RUN/STOP 拨动开关拨至 RUN 位置,PLC 即可开始运行用户 程序 在 PLC 运行用户程序时, 按键即可进行运行的停止和运行命令操作 ; 按可 监控 PLC 内各种继电器和寄存器 D 的状态和读数, 在当前编程画面上显示出来, 方便了程 序调试 2.4 与 HMI 的配合使用 H2U 系列 PLC 提供了 MODBUS 协议, 也支持 FX2N/3U 系列 PLC 的监控协议, 因此目前市售的 HMI 产品, 基本上都可以与 H2U 系列 PLC 配合使用, 包括连接电缆均可由市面购得 关于 H2U 所支持的协议的种类和使用的详细说明, 可参见 RS 通讯指令的解释

29 H2U 编程指令手册 P 软元件说明 系统支持的软元件种类 : 序号 元件类型 功能与分类 1 输入继电器 X 对应 PLC 的硬件开关量输入的位元件 2 输出继电器 Y 对应 PLC 的控制输出的位元件 3 中间继电器 M 普通中间继电器 M 位元件 系统特殊继电器 M 位元件 4 状态继电器 S 步进控制用状态标志位元件 5 计时器 T 具有 1ms 10ms 100ms 步长的 16bit 计时器 6 计数器 C 具有 16bit/32bit 增 / 减型计数器 高速计数器 单 / 双相各种计数器 数据寄存器 D 7 寄存器 D 数据间接寻址寄存器 V Z 文件寄存器 D 跳转指针 P 8 指针 P I 子程序指针 P 中断子程序 I, 有高速输入 定时 计数等中断 9 常数 K H 二进制 十进制 十六进制 浮点数等 3.1 输入继电器 X 输入继电器 X 代表 PLC 外部输入信号状态的元件, 通过 X 端口来检测外部信号状态, 0 代表外部信号开路,1 代表外部信号闭合 用程序指令方法不能修改输入继电器的状态, 其接点信号 ( 常开型 常闭型 ) 在用户程序中都可无限次使用 继电器信号以 X0, X1, X7, X10, X11, 等符号标识, 其序号是以 8 进制方式编号 控制器的计数器信号 外部中断信号 脉冲捕捉等功能是通过 X0~X7 端口输入

30 H2U 编程指令手册 P 30 型号 输 入 输 出 H2U-1616MR/T X000-X017 Y000-Y017 H2U-2416MR/T X000-X027 Y000-Y017 H2U-3624MR/T X000-X044 Y000-Y027 H2U-3624MTQ X000-X044 Y000-Y027 H2U-3232MR/T X000-X037 Y000-Y037 H2U-4040MR/T X000-X047 Y000-Y047 H2U-6464MR X000-X077 Y000-Y077 当接入扩展模块后, 扩展模块上 X 端口的编号按紧接主模块上 X 端口的编号, 依次向后 编号, 例如当主模块为 H2U-1616MR, 现在要接入 H2U-1600EX 型扩展模块, 因主模块最后的 X 端口编号为 X17, 则扩展模块的 X 在编程时的访问编号为 X20~X37 注意, 扩展模块的编号总是从 8 进制个位为 0 开始的, 例如, 当主模块为 H2U-3624MR, 其最后的 X 端口编号为 X44, 扩展模块的 X 在编程时的访问编号为 X50~X67, 即主模块上空 缺的 X45~X47 的端口号被丢弃 扩展模块上 Y 端口也采取了同样的处理方法 3.2 输出继电器 Y 输出继电器是直接关联到外部用户控制装置的硬件端口的软元件, 在逻辑上与 PLC 的物理输出端口一一对应 PLC 每次扫描完用户程序后, 会将 Y 继电器的元件状态传送到 PLC 的硬件端口上,0 表示输出端口开路 ;1 表示输出端口闭合 Y 继电器编号以 Y0, Y1, Y7, Y10, Y11,, 等符号标识, 其序号是以 8 进制方式编号 Y 继电器元件可在用户程序中无限次使用 ; 硬件上, 根据输出元件的不同, 可分为继电器型 晶体管型等 ; 若有输出扩展模块端口, 按照由主模块开始, 依次序进行编号 当接入扩展模块后, 扩展模块上 Y 端口的编号按紧接主模块上 Y 端口的编号, 依次向后编号, 例如当主模块为 H2U-1616MR, 现在要接入 H2U-0016EYR 型扩展模块, 因主模块最后的 Y 端口编号为 Y17, 则扩展模块的 X 在编程时的访问编号为 Y20~Y37 注意, 扩展模块的端口编号总是从 8 进制个位为 0 开始的 3.3 辅助继电器 M 辅助继电器 M 元件用作用户程序执行过程中中间变量, 如同实际电控系统中的辅助继电 器, 用于状态信息的传递, 也可将多个 M 变量组成为字变量使用,M 变量与外部端口没有直接

31 H2U 编程指令手册 P 31 的联系, 但可通过程序语句将 X 复制到 M, 或将 M 复制到 Y 的方式与外界发生联系, 一个 M 变量可无限次使用 辅助继电器 M 以 M0, M1,,M8255 等符号标识, 其序号是以 10 进制方式编号 M8000 以上的变量为系统专用变量, 用于 PLC 用户程序与系统状态的交互 ; 部分 M 变量具有掉电保存功能 M 数量总计一般用停电保持用停电保持专用特殊用 3082 点 M0-M 点 1 M500-M 点 3 M1024-M 点 3 M8000-M 点 1. 非停电保持领域 使用参数设定, 可变更停电保持领域 2. 停电保持领域 使用参数设定, 可变更非停电保持领域 3. 有关停电保持的特性, 无法用参数来改变 可编程控制器内的一般用辅助继电器 停电保持用辅助继电器的区域分配, 可通过参数设定来进行调整 可编程控制器内有大量的特殊辅助继电器 ( 参见系统特殊元件表 ) 这些特殊辅助继电器各有其特定的功能, 可分为以下两类 触点利用型的特殊辅助继电器, 为 PLC 系统自动驱动线圈, 用户程序只能读取使用, 如 : M8000: 运行监视器 ( 在运行中接通 ), 常用于需要驱动信号的指令之前 M8002: 初始脉冲 ( 仅在运行开始时瞬间接通 ), 常用于只需执行一次初始化指令 M8012:100ms 时钟脉冲, 用于产生固定间隔翻转的信号 线圈驱动型特殊辅助继电器, 为用户程序驱动线圈, 用于控制 PLC 的工作状态和执行模式等, 如 : M8030 : 电池发光两极管熄灯指令 M8033 : 停止时保持输出 M8034 : 输出全部禁止 M8039 : 恒定扫描请注意, 存在驱动时有效与 END 指令执行后有效两种情况 ; 用户不可使用尚未定义的特殊辅助继电器 可以将连续的 M 变量按字节或字来进行访问 ( 读或写 ), 例如 :

32 H2U 编程指令手册 P 32 其中 K4M100 表示将 M100 M101 M102. M115 共 16 个单元, 组成一个字的单元进 行读操作,(M100 作为字的 bit0 M115 作为字的 bit15), 这样可提高编程效率 3.4 状态继电器 S 状态继电器 S 用于步进程序的设计和执行处理, 利用 STL 步进指令控制步进状态 S 的转移, 简化编程设计 若没有采用 STL 编程方式,S 可当作普通的位元件, 就如 M 变量一样来使用 状态 S 变量以 S0, S1, S999 等符号标识, 其序号是以 10 进制方式编号 部分 S 变量具有掉电保存功能 如下表 : 一般用停电保持用报警器用 S0-S 点 3 S0-S9 (10) 点 S0-S9 (10) 点 S200-S 点 2 S900-S 点 3 1: 非停电保持领域 通过参数的设定可变更停电保持的领城 2: 停电保持领域 通过参数的设定可变更非停电保持的领城 3: 停电保持特性 不可通过参数的设定变更 3.5 计时器 T 计时器用于完成定时功能 每个计时器含有线圈 接点 计数时值寄存器, 当计时器线圈 得电 ( 能流有效 ) 时, 计时器器开始计时, 若计时值达到预设的时间值时, 其接点动作,a 接点 (NO 接点 ) 闭合,b 接点 (NC 接点 ) 断开 若线圈 失电 ( 能流无效 ) 时, 计时器的接点恢复初始状态, 计时值自动清除 也有部分计时器的具有累计 掉电保持等特性, 重新上电后仍维持掉电前的数值 计时器 T 以 T0, T1, T255 等符号标识, 其序号是以 10 进制方式编号 计时器有不同的计时步长, 如有 1ms 10ms 100ms 等, 部分具有掉电保持特性, 如下表说明 : 100ms 型 100ms 型 10ms 型 1ms 型 100ms 累计型 0.1~3276.7s 0.01~327.67s 0.01~327.67s 0.001~32.767s 0.1~3276.7s T0~T199 共 200 点 ; 其中 T192~T199 可用于中断 / 子程序 T200~T245 共 46 点 T246~T249 共 4 点执行中断的保持用 T250~T255 共 6 点保持用 没有用作定时器使用的定时器编号, 也可用作数值存储用的数据寄存器

33 H2U 编程指令手册 P 33 定时器累计可编程控制器内的 1ms, 10ms, 100ms 等的时钟脉冲, 当计时的时间达到设定数值时, 其触点只有在执行线圈指令或 END 指令时, 输出触点才能动作 采用程序存储器内的常数 (K) 作为设定值. 也可用数据寄存器 (D) 的内容进行间接指定 注意,D 的内容必需在开始计时前设定好, 当开始计数后,D 的数据变化只有在下一次启动计时的时候才能生效 从驱动定时器的线圈开始到定时器的触点动作, 可能的定时长度说明如下 : 最长的情况为 (T+T 0 +a), 其中 :T 为设定的定时时间 ;T 0 为程序扫描执行时间 ;a 为定时器的计时步长 最短的的情况为 (T-a) 若计时器的触点指令位于线圈指令之前, 最不理想的定时长度为 (T+2T 0 ) 利用定时器的 b 触点, 可以实现延时断开 自激振荡的输出信号等 PLC 还提供了特殊定时器指令, 如 TTMR STMR 等, 请参见相应指令的说明 使用举例 1 : 普通计时器 T200 为 10ms 步长的计数器, 实际动作延迟为 ms= 1500ms, 即 1.50s, 动作原理为 : 使用举例 2 : 对于有掉电保持的计时器 T250, 驱动信号为 OFF, 或 PLC 掉电时, 其内部计数值维持不变, 下次驱动信号为 ON 时, 继续计时, 直到满足计时到设定值时, 输出触点闭合 当复位计时器线圈时, 计时值清除, 输出触点断开, 如下图 因计数器 T250 为 100ms 步长的, 实际动作延迟累计为 ms=15000ms, 即 15.0s, 即图中的 (t1+t2) 时间 : 使用举例 3 : 定时器的设定动作值可通过寄存器 D 来进行设定, 如下图 ( 计数器计时过 程中, 若寄存器 D 内数值变化时, 在下一次启动计时器启动时生效 )

34 H2U 编程指令手册 P 计数器 C 计数器用于完成计数功能, 每个计数器含有线圈 接点 计时时值寄存器, 每当计数器 线圈的驱动信号由 OFF ON 时, 计数器器读数增加 1, 若计时值达到预设的时间值时, 其接点 动作,a 接点 (NO 接点 ) 闭合,b 接点 (NC 接点 ) 断开 ; 若清除计时值, 输出 a 接点即断开,b 接点 (NC 接点 ) 闭合 部分计时器的具有掉电保持 累计等特性, 重新上电后仍维持掉电前 的数值 计数器以 C0, C1,,C255 进行标识, 顺序按 10 进制编号 计数器中有 16bit 32bit 宽度 ; 有单向计数型 增减计数型 双相计数型等, 部分计数 器的计数值还具有掉电保持特性等, 使用时根据需要选择合适的计数器 16 位顺计数器 0~32,767 计数 32 位顺 / 计数器 -2,147,483,648~+2, 一般用 停电保持用 停电保持专 特殊用 高速计数器 用 C0~C 点 1 C100~C 点 2 C200~C 点 1 C220~C 点 2 C235~C255 1, 2 1 非停电保持领域 通过设定参数可变更停电保持领域 2 停电保持领域 通过设定参数可变更非停电保持领域 3 试通过设定参数不可改变有关停电保持的特性 不作为计数器使用的计数器编号, 可以作为数据记忆用的数据寄存器使用 对于 32bit 计数器 D200~D234, 由特殊辅助继电器 M8200~M8234 作为增计数 / 减计数 器切换控制, 见下表 : 计数器 NO. 方向切换 计数器 NO. 方向切换 计数器 NO. 方向切换 计数器 NO. 方向切换 C200 M8200 C209 M8209 C218 M8218 C226 M8226 C201 M8201 C210 M8210 C219 M8219 C227 M8227 C202 M8202 C211 M8211 C228 M8228 C203 M8203 C212 M8212 C220 M8220 C229 M8229 C204 M8204 C213 M8213 C221 M8221 C230 M8230 C205 M8205 C214 M8214 C222 M8222 C231 M8231 C206 M8206 C215 M8215 C223 M8223 C232 M8232 C207 M8207 C216 M8216 C224 M8224 C233 M8233 C208 M8208 C217 M8217 C225 M8225 C234 M8234

35 H2U 编程指令手册 P 35 16bit 计数器与 32bit 计数器的特点如下表所示 可按计数方向的切换与计数范围的使用 条件来分开使用 项目 16 位计数器 32 位计数器 计数方向 顺数 顺 / 倒切换使用 ( 见上表 ) 设定值 1~32,767-2,147,483,648~+2, 指定的设定值 常数 K 或数据寄存器 常数 K, 也可用 2 个 D 数据寄存器 当前值的变化 顺数后不变化 顺数后变化 ( 循环计数器 ) 输出接点 顺数后保持动作 顺数保持动作, 倒数复位 复位动作 执行 RST 命令时, 计数器的当前值为零, 输出接点复位 当前值寄存器 16 位 32 位 16bit 计数器 一般用计数器和停电保持用状态的分配, 可通过系统参数配置进行变更设定 对于 16bit 计数器, 其有效设定值为 K1~K32,767 (10 进制常数 ); 设定值 K0 和 K1 具 有相同效果, 即在第一次计数开始时输出触点就动作 如下例 X6 X5 C10 RST C10 C10 K9 Y1 X6 X5 C Y1 计数输入 X5 每驱动 C10 线圈一次, 计数器的当前值就增加, 在执行第 9 次的线圈指令时. 输出触点动作 以后即使计数输入 X5 再动作, 计数器的当前值不变 如果复位输入 X6 为 ON, 则执行 RST 指令, 计数器的当前值清为 0, 输出触点复位 计数器的设定值, 除用上述常数 K 设定外, 还可由数据寄存器编号指定 如上例中, 指定 D20, 如果 D20 的内容为 9, 则与设定 K9 是一样的 在以 MOV 等指令将设定值以上的数据写入当前值寄存器时, 则在下次输入时, 输出线圈接通, 当前值寄存器变为设定值 对于一般用计数器, 如果切断可编程控制器的电源, 则计数器的计数值被清除, 而停电保持用的计数器则可存储停电前的计数值, 因此计数器可按上一次数值累计计数 32bit 计数器 对于 32bit 计数器, 增计数 / 减计数的设定值有效范围为 -2,147,483,648 ~

36 H2U 编程指令手册 P 36 +2, (10 进制常数 ), 可用常数 K 或数据寄存器 D 的内容进行设定 利用特殊的辅 助继电器 M8200~M8234 指定增计数 / 减计数的方向, 如果对 C 驱动 M8, 则为 减计数, 不驱动时, 则为增计数 X10 X11 X12 C200 M8200 RST C200 C200 K-5 Y1 X10 X11 X12 C 若 Y1 已接通 Y1 当前值的增减与输出触点的动作无关, 但是如果从 2,147,483,647 开始增计数, 再输入一个脉冲后, 则成为 -2,147,483,648 同样, 如果从 -2,147,483,648 开始减计数, 再输入一个脉冲, 则成为 2,147,483,647 ( 这类动作被称为环形计数 ); 如果复位输入 X11 为 ON, 则执行 RST 指令, 计数器的当前值变为 0, 输出触点也复位 使用供停电保持用的计数器时, 计数器的当前值 输出触点动作与复位状态停电保持 32bit 计数器也可作为 32bit 数据寄存器使用 但是,32bit 计数器不能作为 16 位应用指令中的软元件 在以 DMOV 指令等把设定值以上的数据写入当前值数据寄存器时, 则在以后的计数输入时可继续计数, 触点也不变化 对于 16bit 计数器, 最高位 (bit15) 为符号位, 处理的数据为 0~32767 范围, 即只能为正数 ; 对于 32bit 计数器, 最高位 (bit31, 即高字节的最高位 ) 为符号位, 处理的数据范围为 -2,147,483,648~2,147,483,647; 高速计数器 H2U 系列 PLC 的内置高速计数器如下表所示, 按计数器的编号 (C) 分配在输入 X000~X007 而不作为高速计数器使用的 X 输入端口可在顺控程序内作为普通的输入继电器使用 此外, 不作为高速计数器使用的高速计数器编号也可作为数值存储用的 32 位数据寄存器使用 高速计数器有如下几种类型 : 1)1 相 1 计数型, 只需要 1 个计数脉冲信号输入端, 由对应的特殊 M 寄存器决定为增计数或减计数 ; 部分计数器还具有硬件复位 起停的信号输入端口 ; 2)1 相 2 计数型, 有 2 个计数脉冲信号输入端, 分别为增计数脉冲输入端和减计数脉冲输

37 H2U 编程指令手册 P 37 入端 ; 部分计数器还具有硬件复位 起停的信号输入端口 ; 3)2 相 2 计数型, 即 AB 两相计数脉冲计数器, 是根据 AB 两相的相位决定计数的方向, 计数方法是 : 当 A 脉冲为高电平时,B 相的脉冲上升沿作加计数,B 相的脉冲下降沿作减计数 通过读取 M8251-M8255 的状态, 可监控 C251-C255 的增计数 / 减计数状态 双相式编码器输出的是有 90 度相位差的 A 相和 B 相, 据此高速计数器自动地进行增计数 / 减计数动作 通过特殊变量的设定, 可以进行 4 倍频的 AB 相计数, 可提供计数精度 部分计数器还具有硬件复位 起停的信号输入端口 ; 项目单相单计数输入单相双计数输入双相单双计数输入 A 相输入处于 ON 同时, 根据 M8235-M8245 的对应于增计数输入或减 B 相输入处于 OFF ON 计数方向的指定启动与否,C235-C245 计数输入的动作, 计数时增计数动作,ON 作增 / 减计数 器自动地增 / 减计数 OFF 时减计数动作 通过监控 M8246-M8255, 可以知道增 (OFF) 减 ( ON) 计数方向监控 情况 [ U ]: 增计数输入 ; [ D ]: 减计数输入 ;[ A ]:A 相输入 ; [ B ]:B 相输入 ; [ R ]: 复位输入 ; [ S ]: 启动输入 增计数 / 减计数切换用特殊辅助继电器 种类 计数器号 UP/DN 指 定 C235 M8235 C236 M8236 C237 M8237 C238 M8238 单相单计 C239 M8239 数输入 C240 M8240 C241 M8241 C242 M8242 C243 M8243 C244 M8244 C245 M8245 计数方向监控用特殊辅助继电器 种类 计数器号 UP/DN 指 定 C246 M8246 C247 M8247 单相双计 C248 M8248 数输入 C249 M8249 C250 M8250 C251 M8251 双相双计 C252 M8252 数输入 C253 M8253 C254 M8254 C255 M8255 高速计数器编号与对应的 X 端口配套使用, 即指定了高速计数器 Cxxx 后, 对应的 X 输

38 H2U 编程指令手册 P 38 入端即被指定, 故编程时不要让 X 端口有重复使用的情况, 否则会出错 定义如下表 : 分配输 单相单计数输入 入 C235 C236 C237 C238 C239 C240 C241 C242 C243 C244 C245 X000 U/D U/D U/D X001 U/D R R X002 U/D U/D U/D X003 U/D R R X004 U/D U/D X005 U/D R X006 S X007 双计数及 A/B 相计数器如下表 : 分配单相双计数输入 A/B 相计数输入 C246 C247 C248 C249 C250 C251 C252 C253 C254 C255 X000 U U U A A A X001 D D D B B B X002 R R R R X003 U U A A X004 D D B B X005 R R R R X006 S S X007 S S U: 上升输入 ;D: 下降输入 ;A:A 相输入 ;B:B 相输入 ;R: 复位输入 ;S: 开始输入 不作高速计数器使用的输入端子 可以作一般输入使用 S 表的阅读举例 1 表中 C235 为单相单输入计数, 使用 X0 输入口, 不需要中断复位与中断启动端口 ; 如果使用 C235 计数器, 即默认使用了 X0 输入端口, 便不可再使用 C241, C244, C246, C247, C249, C251, C252, C254 和中断 I00 口或者 M8l70( 脉冲捕捉 ), 也不能再使用 SPD 脉冲密度指令, 因为这些计数器 中断 脉冲捕捉也需用到 X0 端口, 形成了端口冲突 表的阅读举例 2 表中 C254 为 2 相 2 输入计数器, 即 AB 相计数器, X0 口作为 A 相输入,X1 口作为 B 相输入,X2 口作为中断复位输入,X6 口作为中断启动输入 ; 如果使用 C235 计数器, 即默认使用了 X0 X1 X2 X6 输入端口, 与这些端口相关的计数器 中断口或者脉冲捕捉等, 便都不能再使用了

39 H2U 编程指令手册 P 39 计数器使用说明 : 高速计数器根据特定的输入执行动作, 在相关信号的跳变沿, 采用中断方式处理进行高速动作, 故与 PLC 的扫描时间无关 高速计数器的当前值达到设定值时, 如要立即进行输出处理, 请使用高速脉冲比较指令 HSCS HSCR HSZ 等应用指令, 具体参见指令解释 高速计数器的当前值达到设定值时, 如要立即进行一些逻辑处理, 可使用高速计数中断, 使用高速脉冲比较指令 HSCS, 将指令的操作指定为 I0x0 中断 ( 其中 x=1~6 中断号 ), 当然必需编写好对应中断号的子程序 高速计数器的线圈驱动用触点, 在高速计数时, 请采用一直接通的触点 如果对高速计数器的线圈编程, 则与其对应的输入继电器的输入滤波器会自动变为 20 µ s ( X000, X00l ), 或 50 µ s (X002-X005 )( 初始值为 10ms) 此外, 不作为高速计数器输入使用的输入继电器的输入滤波器维持初始值 10ms A/B 相高速计数器 T251~T255 有 1 倍频和 4 倍频两种频率模式, 分别由特殊寄存器 M8195~ M8199 设定, 见下例 : 高速计数器均采用了硬件方式计数, 对输入脉冲的总频率没有软件方面的限制 ; 双相高速计数器的信号, 占用两个脉冲输入口, 对 PLC 的等效脉冲数影响按 2 倍计算, 若 T251~T255 的 A/B 输入 4 倍频模式时, 为软件计数模式, 高速输入频率降为 25kHz 由于高速 X 计数 高速 Y 脉冲输出均采用中断方式进行处理, 故信号路数较多时, 可能会影响程序的执行速度, 向高速计数器输入信号时, 其所用频率要低于上述频率 如果输入超过这一频率的信号, 可能会发生监视定时器 (WDT) 错误

40 H2U 编程指令手册 P 寄存器 D 数据寄存器 D 寄存器用于数据的运算和存储, 如对定时器 计数器 模拟量参数的运算和运算 等, 每个寄存器的宽度为 16bit 若采用 32bit 指令, 则自动将相邻的寄存器组成为 32bit 寄存器使用, 地址较低的为低字节, 而地址较高的为高字节 H2U 系列 PLC 多数指令中参与运算的数据是按有符号数进行处理的, 对于 16bit 的寄存器,bit15 为符号位 (0 表示正数,1 表示负数 ); 对于 32bit 的寄存器, 高字节的 bit15 为符号位, 数值范围为 -32, 768 ~+32,767 当需要处理 32bit 的数据时, 可将相邻的 2 个 D 寄存器组成为 32bit 双字, 例如以 32bit 格式访问 D100 时, 此时将高地址 D101 寄存器作为高字, 同时将高字节的 bit15 作为双字的符号位, 可处理 -2, 147, 483, 648-2,147, 483, 647 的数值 寄存器以 D0, D1,,D9,999 为标识, 按 10 进制进行编号 一般用 D0~D 点 1 停电保持 停电保持专用 用 普通用 ( 文件用 ) D200~D511 D512~D7999 根据参数设定, 可 312 点 点 3 以将 D1000 以后 作为文件寄存器 1: 非停电保持领域 通过设定参数可变更停电保持领域 特殊用 D8000~D 点 指定用 V0~V7 Z0~Z7 16 点 3 2: 停电保持领域 通过设定参数可变更非停电保持领域 3: 通过设定参数无法变更停电保持的特性 以两个相邻的数据寄存器表现 32 位的数据 ( 高位为大的号码, 低位为小的号码 在变址寄存器中,V 为高位,Z 为低位 ) 在指定 32 位时, 如果指定了低位 ( 例如 :D0), 则高位为继其之后的编号 ( 例如, D1) 被自动占有 低位可用奇数或偶数的任意一种软元件编号指定, 考虑到外围设备的监视功能, 建议低位采用偶数软元件编号 一旦在数据寄存器中写入数据, 只要不再写入其他数据, 就不会变化 但是, 在 RUN STOP 时或停电时, 所有数据被清除为 0 ( 如果驱动特殊的辅助继电器 M8033, 则可以保持 ) 对此相对停电保持用的数据寄存器在 RUN / S TOP 和停电时也可保持其内容 利用系统参数配置功能. 可改变 D 寄存器的一般用与停电保持用的分配 ; 而且将停电保持专用的数据寄存器作为一般用途时, 请在程序的起始步采用 RST 或 ZRST 指令, 以清除其内容 在使用 PC 间简易链接或并联链接的情况下, 一部分的数据寄存器作为默认区域被占用

41 H2U 编程指令手册 P 41 特殊用途的数据寄存器是指写入特定目的的数据, 用于实现控制器的一些特殊功能, 可理解为用户程序与 PLC 系统程序进行数据交互的特殊单元 例如, 在 D8000 中, 监视定时器的时间通过系统 ROM 进行初始设定, 要将其改变时, 利用 MOV 传送指令, 在 D8000 中写入目标时间 M8000 MOV K200 D8000 WDT 监控定时设为 200ms 监控定时器刷新 另外还有一些特殊 D 寄存器, 用于系统工作状态参数缓存, 查询这些寄存器, 可用于判断运行参数 关于特殊数据寄存器的停电保持特点请参照 特殊寄存器说明 数据寄存器可以处理各种数值数据, 通过利用它, 可以进行各种控制 如作为定时器与计数器的设定值被指定, 用于数据的各种运算等, 在后续的指令解释中, 对支持使用 D 寄存器的指令有详细的说明 数据寄存器 V Z 变址寄存器 V 与 Z 同普通的数据寄存器一样, 是进行数值数据的读入 写出的 16 位数据寄存器 V0~V7,Z0~Z7 共有 16 个 变址寄存器除了和普通的数据寄存器有相同的使用方法外, 在应用指令的中, 还可以同其他的软元件编号或数值组合使用 但需注意 LD, AND, OUT 等基本顺控指令或步进梯形图指令的软元件编号不能同变址寄存器组合使用 V Z 寄存器可采用 16bit 和 32bit 方式进行访问, 如下图说明 : 按照惯例, 在处理 32 位应用指令中的软元件或处理超过 16 位范围的数值时,( 为 32bit 寄存器方式 ),V( 高位 ) Z 低位 ) 被同时访问, 指定的寄存器名必须为 Z0~Z7 即使指定 了 V0~V7 的高位侧, 也无法进行变址

42 H2U 编程指令手册 P 42 16bit 变址应用举例 : 32bit 变址应用举例 : 常数变址的特例 : 当 V Z 间接寻址方式用于循环指令中 (V Z 随循环变量变化 ), 进行成片数据区的操作, 或用于查表操作等, 简化编程, 提高指令效率 文件寄存器 D 数据寄存器 D1000 以后是普通用的保持用寄存器, 可设定作为最大 7000 点的文件寄存器使用 通过参数设定, 可指定 1~14 个块 (1 个块相当于 500 个文件寄存器 ), 但是每增加 1 个记录块就要减少 500 步的程序储存区域, 用于备份文件寄存器 将 D1000 以后的一部分设定为文件寄存器时, 剩余部分仍可作为通用的保持寄存器使用 文件寄存器区域定义及处理如下示意图 : 当控制器从 STOP RUN 时内存中设定的文件寄存器区域 [ A ], 系统程序自动将之批次传送主系统 RAM 中的数据存储区 [B] 部, 数据存储区中已变化的内容将被初始化 此后, 除块传送指令 BMOV 外, 程序中对元件的操作都将是针对寄存器区域 [B ] 中的元件 应用指令 (BMOV 除外 ) 中的或定时器 计数器的间接指定值, 或作为 RST 指令内的软元件, 若指定为 D1000 以后的软元件, 与 [B] 部中一般的数据寄存器采用相同的处理

43 H2U 编程指令手册 P 43 方式进行读写 读取操作 : M10 S D n BMOVP D1200 D200 K300 当 M10=ON 时, 会进行如右图的操作 ; 通过 S 和 D 的指定, 也可将普通区域数据搬移到文件区, 进行写入操作 ; 若将 S 和 D 指定为同一地址, 则成为同编号寄存器的更新操作. D1000 (500 点 14 程序 ) 最大 700 点 内部 FLASH 存储器 程序存储器 程序 / 注释 文件寄存器 [A] D0 D200 D599 D1200 D1499 D7999 系统 RAM 内 数据存储器 文件寄存器 文件寄存器 [B] 停电保持用寄存器 当需要利用顺控程序保存数据储存区中变化的数据时, 请利用块传送指令 BMOV 的同编 号更新模式, 将文件寄存器 [ A ] 区域, 更新为变化的值 在外围设备上对文件寄存器进行监视时, 将数据存储器内的数据寄存器 [ B ] 区域读出 而且从外围设备进行文件寄存器软元件的 当前值变更 强制复位 或 PC 内存的全部清除 的情况下, 是对程序存储区内的文件寄存器区域 [ A ] 部进行修改, 随后向数据寄存器区域 [ B ] 部自动传送 因 FLASH 内存的写入寿命为 10 万次, 向文件寄存器中作写入操作时, 注意不要采用连续型写入指令反复进行写入操作, 以免损坏存储器 3.8 子程序与中断指针 P I 指针 (P) 用于跳转程序的入口地址和子程序启始地址的标识 ; 指针 (I) 则用于中断程

44 H2U 编程指令手册 P 44 序的启始地址标识, 其编号采用十进制数分配, 如下表所示 : 结束跳转高速计数器分支用输入中断用定时中断用用中断用 I00x(X0) I10x(X1) I20x(X2) P0~P62; P63 I30x(X3) P64~P127 I40x(X4) 共 1 点 I50x(X5) 共 127 点 x=0 上升沿中断共 3 点共 6 点 x=1 下降沿中断共 12 点因外部输入中断 高速计数 脉冲频率测量等功能都是通过 X0~X7 端口输入的, 故这几项功能所使用的 X 端口不能有重复使用的现象, 故使用输入中断指针时, 注意端口的功能安排, 检查高速计数器 脉冲密度指令所用的输入端口号情况 跳转指针 (P) 和子程序指针 (P) 的使用及差别如下图所示 跳转指针 (P) 引导的指令语句仍在主程序内, 只是用于在满足条件是跨过一部分指令语句 ; 但子程序指针 (P) 则用于一段子程序, 若主程序中条件满足, 调用子程序, 在子程序执行完毕 (SRET) 后, 要返回原调用 (CALL) 指令的下一步继续执行 跳转指针用法 X3 主程序 CJ P3 子程序指针用法主程序 X3 CALL P3 P3 P63 END 被跨过 继续 P3 FEND SRET 子程序 两种 P 指针使用同一种编号体现, 在定义 P 指针时不要有重复 ; P63 指针为专用指针编号, 指向程序的结束语句 END, 注意不要再对 P63 编程 指针 (I) 用于指定中断程序的启始地址, 而中断子程序是在 中断允许 的情况下, 当信号条件满足的瞬间,PLC 系统暂停主程序的正常执行 ( 记住当前暂停点 ), 从指定的 I 指针所指定的地址入口, 开始执行中断子程序, 直到执行了 IRET 指令后, 返回主程序的暂停点,

45 H2U 编程指令手册 P 45 继续执行 因 PLC 系统对中断信号采取了高优先的响应处理, 故不受扫描时间的影响 PLC 系统提供了三种类型的中断, 分别是 : l)x 输入中断 : 控制器的 X0~X5 可分别设定为中断输入端口, 每个中断输入口又有上升沿中断 下降沿中断, 通过中断号来进行划分 : 如 I100 中断号代表 X1 口的上升沿中断, 而 I101 则代表 X1 口的下降沿中断 2) 定时器中断用 : 在各指定的中断循环时间 (1ms-99ms) 执行中断子程序 在需要有别于可编程控制器的运算周期的循环中断处理控制中使用 系统提供了 3 个定时中断, 定时中断的周期可编程决定 定时中断使用系统内部的定时器, 不占用 T0~T255 3) 计数器中断用 : 根据可编程控制器内置的高速计数器的比较结果 (HSCS), 执行中断子程序, 优先处理计数结果的控制 当 HSCS 指令的输出目标设为 I010~I060 时, 便使用了高速计数器中断, 编程时需编制好相应的中断子程序, 开启响应的中断允许标志, 才能进行中断响应 对应中断的 中断允许 标志如下表, 各标志可以独立设置 : 中断允许 / 禁止设置 M8050 驱动 I00 中断禁止 X 输入中断, 共有 12 个中 M8051 驱动 I10 中断禁止 断, 分别对应 X0~X5 端口的 M8052 驱动 I20 中断禁止 上升沿中断 下降沿中断 每个标志对应 1 个外部中断的控 制 ; M8053 驱动 I30 中断禁止 中 : 当该 M 标志为 OFF 时, 允许对应的 M8054 驱动 I40 中断禁止 0= 上升沿中断 ; 1= 下降沿中断 X 中断 ; M8055 驱动 I50 中断禁止当该 M 标志为 ON 时, 禁止对应的 X M8056 驱动 I600 中断禁止 定时中断 0 中断 ; M8057 驱动 I700 中断禁止 定时中断 1 M8058 驱动 I800 中断禁止 定时中断 2 M8059 驱动计数器中断禁止 高速计数中断, 共 6 个 为 ON 时, 禁止 I010~I060 的中断 每个中断对应的 中断允许 标志开启后, 还需要开启 全局中断允许, 即执行 EI 指令 (FNC04) 后才最后才能使能中断功能 ; 若执行全局中断禁止 DI 指令 (FNC05), 则禁止所有的中断的响应 当启用了输入编号的中断允许设定标志, 输入信号满足中断设定时, 将执行对应的中断子程序 每个中断子程序的末尾均要有 IRET 指令, 以表示中断子程序完毕,PLC 执行了该语句后, 便会跳回本中断程序开始执行之前的位置 若需要对出现在 X0~X6 端口的瞬间脉冲信号作出反应, 但对反应动作时间没有特别要求, 就可以使用 脉冲捕捉 功能,PLC 会将出现在 X0~X5 端口的上升沿信号保存在 M8170~M8175 单元, 主程序中可作为判断处理的依据, 响应处理完毕, 可人为将之清除

46 H2U 编程指令手册 P 常数 K H 能如下 : H2U 系列可编程控制器根据不同的用途和目的, 使用 5 种类型的数值 其作用和功 类型 编程中应用说明 十进制数,DEC 定时器和计数器的设定值 (K 常数 ) 辅助继电器 (M ), 定时器 (T ), 计数器 (C), 状态 S 等的编号 ( 软元件编号 ) 指定应用指令中的数值与指令动作 (K 常数 ) 十六进制数, 同 10 进制数一样, 用于指定应用指令中的与指定动作 (H 常数 ) HEX 二进制,BIN 以十进制数或十六进制数对定时器 计数器或数据寄存器进行数值指 定, 但在可编程控制器内部, 这些数字都用二进制数处理 而且, 在外围设备上进行监控时, 这些软元件将如图所示自动变换为十进制数 ( 也可切换为 16 进制 ) 八进制,OCT 输入继电器 输出继电器的软元件编号以 8 进制数值进行分配 因此, 可进行 [0-7, 10-17, 70-77, ] 的进位, 在 8 进制数中, 不存在 [8, 9] BCD BCD 是以 4 位二进制表示十进制数各位 0-9 数值的方法 各位的处理 很容易, 因此, 可用于 BCD 输出形的数字式开关或七段码的显示器控制 等方面 BIN 浮点数 可编程控制器具有可进行高精度的浮点运算功能, 内部用二进制 (BIN) 浮点数进行浮点运算 十进制浮点数 十进制浮点值只用于监视, 便于阅读 常数 K [K] 是表示 10 进制整数的符号 主要用于指定定时器或计数器的设定值或应用指令中的数值 16bit 指令中, 常数 K 的取值范围为 ~32767;32bit 指令中, 常数 K 的取值范围为 -2,47,483,648~2,147,483,647

47 H2U 编程指令手册 P 47 常数 H [H] 是 16 进制数的表示符号 主要用于指定应用指令的的数值 常数 H 的取值范围 为 0000~FFFF;32bit 指令中, 常数 K 的取值范围为 0000,0000~FFFF,FFFF 3.10 控制器软元件规格 输入端口 X X0~X377, 最大可达 256 点 ; XY 总和最大 256 点 输出端口 Y Y0~Y377, 最大可达 255 点 ; XY 总和最大 256 点 辅助继电 器 M 状态 S 定时器 T 16 位向上 计数器 C 32 位计数 器 C 数据寄存 器 D,V,Z 嵌套指针 常数 M0~M 点, 通用 l S0~S499 共 500 点 1 初始用 S0~S9 T0~T199 共 200 点,100ms 子程序用 :T192~T199 X0~X5: 具有中断功能 ; X0~X17: 滤波时间可设 ; [Y0~Y1] 具高速脉冲输出功能 ; MTQ 版 [Y0~Y4] 具高速脉冲输出功 能 [M500~M1023] 524 点, 保存用 2 继电器 [M1024~M3071] 2048 点, 保存用 3 [S500~S899] 共 400 点, 掉电保存用 2 T200~T245 共 6 点,10ms [T246~T249] 共 4 点,1ms 累计 3 8 进制命名规则 8 进制命名规则 M8000~M 点, 特殊用 [S900 ~ S999] 共 100 点, 报警用 2 [T250 ~ T255] 共 6 点,100ms 累计 3 C0~C99100 点, 通用 1 [C100~C199]100 点, 保存用 2 C200~C 点, 通用 1 D0 ~D199 共 200 点, 通用 1 N0~N7 8 点, 主控用 [] 内的元件为电池保存区 32 位可逆 32 位高速计数可逆最多 6 点 [C220~C234] 15 点, 掉电保 存用 2 [D200~D511] 共 312 点, 保 存用 2 P0 ~ P127 共 128 点, 跳转子 程序 [C235~C245] 单相单向计数输 入 2 [D512 ~ D7999] 共 7488 点, 保存 用 3 I00*~I50* 共 6 点, 输人中断指 针 K( 十进制 ) 16 位 -32,768~32,767 [C246~C250] 单相 双向计数输入 2 [D8000~D8255] 共 256 点, 特殊用 I6** ~ I8** 共 3 点, 定时中断指针 [C251~C255] 2 相计数输入 2 V7~V0, Z7~Z0 共 16 点, 变址用 I010~I060 共 6 点, 计数中断指针 32 位 -2,147,483,648 ~ 2,147,483,647 H( 十六进制 ) 16 位 0~FFFFH 32 位 0~FFFFFFFFH F( 浮点数 ) - 32 位 ~ : 非电池保存区 根据参数设定, 可以变更为电池保存区 2: 电池保存区 根据参数设定, 可以变更非电池保存区 3: 电池保存固定区, 区域特性不能变更

48 H2U 编程指令手册 P 逻辑指令表 在基本指令当中, 有部分指令采用 功能号 编码方式, 若以手持编程器输入程序, 输入 方式可使用键盘中相对应的指令按键输入或使用功能编号方式输入 每一个指令的功能和使用方 法在第 7 章内有详细说明 指令 符号 FUN NO 功能 类型 指令 步长 LD 加载常开接点 S X Y M T C 1 LDI 加载常闭接点 S X Y M T C 1 LDP 90 取脉冲上升沿 S X Y M T C 1 LDF 91 取脉冲下降沿 S X Y M T C 1 AND 串联常开接点 S X Y M T C 1 ANI 串联常闭接点 S X Y M T C 1 ANB 串联回路方块 无 1 ANDP 92 与脉冲上升沿检测串行连接 3 ANDF 93 与脉冲 (F) 下降沿检测串行连接 3 OR 并联常开接点 S X Y M T C 1 ORI 并联常闭接点 S X Y M T C 1 ORB 并联回路方块 无 1 ORP 94 或脉冲上升沿检测并行连接 3 ORF 95 或脉冲 (F) 下降沿检测并行连接 OUT 驱动线圈 S Y M 1 SET 置位动作保存线圈指令 S Y M 1 RST 接点或缓存器清除 S Y M T C D 3 PLS 脉冲上升沿检测线圈指令 PLF 脉冲 (F) 下降沿检测线圈指令 MC 主控公用串行接点用线圈指令 N0~N7 3 MCR 主控复位公用串行接点解除指令 N0~N7 3 MPS 存入堆栈 无 1 MRD 读出堆栈 ( 能流指针不变 ) 无 1 MPP 读出堆栈 无 1 NOP 无动作 无 1 INV 98 运算结果取反 无 1 END 程序结束 无 1 P 指针 0~127 1 I 中断插入指针 I101/I201/301/I401 1

49 H2U 编程指令手册 P STL/SFC 指令 5.1 STL 编程指令 STL 程序跳至副母线 S 1 RET 程序返回主母线 无 1 步进梯形图指令 (STL,RET) 步进梯形图是一种根据被控设备的运行过程, 分解为若干个状态或工序, 针对每一个状 态进行逻辑编程的方式, 再根据信号条件进行状态间的切换 编程时采用 STL 梯形图, 这 种编程方法思路清晰, 简化了逻辑设计, 方便调试和维护 步进梯形图指令可用梯形图表示, 在步进梯形图中, 将状态 (S) 看作为一个控制工序, 从中将输入条件与输出控制按顺序编程 这种控制最大的特点是在工序进行时, 与前一工序 不接通, 以各道工序的简单顺序, 即可控制设备 步进梯形图有相应的编程规则, 既包含了普通梯形图的编程方法, 又与普通的梯形图编 程有一定的差异, 说明如下 : 步进梯形图程序以 STL 指令开始 ( 注意与普通梯形图中 S 不同 ), 以 RET 指令结束, 中 间的程序以 S 状态引导, 后续该 S 状态的所有操作逻辑, 包括条件满足时切换为下一状态 的操作

50 H2U 编程指令手册 P 50 S30 T1 S32 S33 T2 K20 T2 K5 不可以 T2 K30 定时器重复使用的问题 : 定时器线圈与输出线圈一样, 也可在不同状态间对同一软元件编程 但是, 在相邻状态中则不能编程 如果在相邻状态下编程, 则工序转移时定时器线圈不断开, 当前值不能复位

51 H2U 编程指令手册 P 51 可在状态内处理的顺控指令一览表 状态 命令 LD/LDI/LDP/LDF,AND/ANI/ ANDP/ANDF,OR/ORI/ORF, INV, OUT,SET/RST,PLS/PLF ANB/ORB MPS/MRD/ MPP MC/MCR 初始状态 / 一般状态可使用可使用不可使用 分支, 汇合 输出处理可使用可使用不可使用 状态转移处理可使用不可使用不可使用 在中断程序与子程序内, 不能使用 STL 指令 在 STL 指令内不禁止使用跳转指令, 但其动作复杂, 建议不要使用 5.2 SFC 顺序功能图编程 H2U 可编程控制器内置有利用 SFC 图 ( 顺序功能图 ) 的顺控功能,SFC 采用类似流程图的方式, 将控制程序按流程图方式直观表述, 使得编程调试 维护的大为简化 SFC 图设计时使用的符号定义如下 : 启始步进点图形, 用于 S0~S9 状态的启始编程点, 一个用户程序中只有一个该启始符 梯形图块图形, 表示内部为一般步行梯形图的程序 常带梯形图块编号, 如 LAD0 LAD1 等一般步进梯形图程序块图形, 可使用 S10~S889 状态变量 状态转移条件图形, 用于标明上下相邻两状态转移的条件 状态分离图形, 用于标明不相邻的两个状态的跳转 向上状态转移图形, 用于标明向上转移的状态 状态复位图形, 将程序的状态复位到启始状态 S0 选择分支图形, 由同一步进点按不同条件转移到相应步进点 选择汇合图形, 由两个以上步进点状态, 经相应的转移条件后, 转移到相同的步进点 并行分支图形, 由同一步进点将综合体以同一转移条件转移到两个以上步进点

52 H2U 编程指令手册 P SFC 的编程特点 : 并行分支汇合图形, 由两个以上不同步进点状态同时成立时, 以同一转移条件 转移到相同的步进点 在该梯形图块 中, 采用可编程控制器由 STOP RUN 转换时, 瞬间动作的辅助继电器 M8002, 使初始状态 S0 置位 (ON); 可编程控制器中 S0-S9 为初始状态软元件 ; 对各动作工序分配了 S20-S889 等状态 其中也有停电保持用的状态, 即使在停电时也可保存其动作状态 此外,S10-S19 可用于特殊目的 ; 可编程控制器内的定时器 计数器和辅助继电器等软元件, 可随意使用 ; 当有多项工序的选择 或有多个需要同时进行的工序时, 采用如下方法 : 可见在 SFC 图中, 每道工序中设备的动作清晰易懂, 其顺控设计容易, 方便调测维护 SFC 图与步进梯形图指令都按一定的规则编程, 可相互转换, 其内容是一样的. 也可使用大家熟悉的继电器梯形图 SFC 的编程方法 : 以下以举例的方式来逐项说明 SFC 编程的方法 初始状态的作用 初始状态位于 SFC 图的最前面, 可使用状态号 S0-S9 初始状态也要通过其他状态 ( 如上图示例 S23 所示 ) 来驱动时, M8002 S0 X10 S20 X11 S21 X12 S22 X13 S23 X4 S0 初始步 起动按钮 (Y3) (Y4) (Y5) (Y6)

53 H2U 编程指令手册 P 53 需要在运行开始时, 利用其他方法事先驱动 下图所示例子是在可编程控制器由 STOP RUN 切换时, 利用只有瞬间动作的特殊辅助继电器 M8002 来驱动 初始状态以外的一般状态一定要通过来自其他状态的 STL 指令驱动, 不能从状态以外驱动 将这种通过 STL 指令以外的触点驱动的状态称为初始状态 一定在流程的最前面表述 此外, 对应初始状态的 STL 指令, 必须在其之后的一系列 STL 指令之前编程 没有分支与汇合的一般流程下图 A 为典型的 SFC 图, 每个状态具有驱动负载 指定转移目标以及指定转移条件三种功能 使用继电器顺控方式表示 SFC 图时, 是下图 (B) 的步进梯形图 程序用 SFC 图或用步进梯形图均可编写 编程顺序为先进行负载的驱动处理, 接着进行转移处理 当然, 如果是不需要驱动负载的状态, 则不需要进行负载的驱动处理 STL S20 OUT Y10 LD X10 OR X11 OUT Y11 LD X0 ANI X1 SET 21 当然上述步进梯形图也可用指令表程序来等效描述, 如右图所示 STL 指令为与主母线 连接的常开触点指令, 接着就可在副母线上直接连接线圈, 或者可以通过触点驱动线圈 在一系列的 STL 指令前面要有初始状态, 最后一定要写入 RET 指令 带有跳转与重复的一般状态 S0 S1 S2 S3 S4 S 20 S 30 S 40 S 50 S60 OUT S 31 S 51 S 21 S 32 S 41 OUT S 52 S 61 S 64 S 22 S 42 S 53 S 62 S 65 OUT S 23 S 33 S 43 S 54 RST S 66 跳转 ( 向下方的转移 ) 重复 ( 向上方的转移 ) 向流程外跳转 复位处理 如上图所示, 向下方状态的转移 ( 跳转 ) 向上方状态的转移 ( 重复 ) 向流程外的转移

54 H2U 编程指令手册 P 54 等的分离状态转移, 用 OUT 指令编程 选择性分支与汇合状态 并行分支与汇合状态

55 H2U 编程指令手册 P 55 步进梯形图指令及其动作如下图所示 : 若以 SFC 图表示上图所示的步进梯形图回路, 则其表示如下图所示 : 具有多个初始状态的 SFC 图的程序将各初始状态分离编程 OUT S3 OUT S4 如左图所示, 初始状态 S3 对应其 STL 指令的程序, 而初始状态 S4 则对应另一程序 ; S 20 S40 在自身的程序中, 能够以 STL 以外的指令使用对方 OUT S42 S42 的状态号 如左图所示, 在初始状态 S3 的程序中包含 OUT S42 的指令 S 39 S59 此外, 初始状态 S4 的程序中包含 LD S39 的指令 重要的是不可混杂 STL 指令 一条并行分支或选择性分支的回路数限定为 8 条以下 ; 但是, 有多条并行分支或选择性分支 时, 每个初始状态的回路总数不超过 16 条 如下图 :

56 H2U 编程指令手册 P 56 1 分路 8 回路以下 ( 并用或选用 ) OUT OUT RST OUT OUT OUT 16 合计 16 回路以下 不能进行从汇合线或汇合前的状态开始向分离状态的转移处理或复位处理, 应设置空状态, 由分支线上向分离状态进行转移与复位处理 对于状态转移条件比较复杂的情况, 建议作简化处理, 例如 : S20 (Y1) S20 (Y1) (M1) S21 在转移条件回路中, 不能使用 ANB ORB MPS MRD MPP 等指令 S21 状态的转移与复位 : S0 在流程中, 符号则表示向上面的状态转移重复或向下面的状态转移 ( 跳转 ), 或者向分离的其他流程 S90 S 10 S10 S80 上的状态转移 符号则表示状态的复位处理 状态标志 S 也可以采用 ZRST 指令对一个区间的标 S40 自动复位 S 19 S0 返回 S0 转移到 S30 志进行批量复位 编写 (SFC) 图程序时, 可使用如下特殊辅助继电器, 提高编程效率, 如下表所示说明 软元件 号 名你 功能和用途 M8000 RUN 监视可编程控制器在运行过程中, 需要一直接通的继电器 可

57 H2U 编程指令手册 P 57 M8002 M8040 M8046 M8047 初始脉冲禁止转移 STL 动作 STL 监视有效 作为驱动的程序的输入条件或作为可编程控制器运行状态的显示来使用 在可编程控制器由 STOP RUN 时, 仅在瞬间 (1 个扫描周期 ) 接通的继电器 用于程序的初始设定或初始状态的置位 驱动该继电器, 则禁止在所有状态之间转移 然而, 即使在禁止转移状态下, 由于状态内的程序仍然动作, 因此, 输出线圈等不会自动断开 任一状态接通时,M8046 自动接通 用于避免与其他流程同时启动或用作工序的动作标志 驱动该继电器. 则编程功能则可自动读出正在动作中的状态并加以显示 详细事项请参照各外围设备的手册 停电保持用状态, 是用电池保持其动作状态 在机械动作中途发生停电之后, 再通电时从这里继续运行的情况下使用这些状态 RET 指令一定在一系列的 STL 指令的最后编写, 没有编写 RET 指令时, 会出现 [ 程序出错 ], 可编程控制器不能运行 执行此指令, 表明步进梯形图回路的结束 在希望中断一系列的工序而在主程序编程时, 同样需要 RET 指令, RET 指令可多次编程 转移条件成立后状态的处理 X20 构成转移条件的限位开关 X20 已经动作, 而且 S20 (Y10) 正转 Y10 M 在转动一次之后将进行下一次转移 S21 PLS PLS M10 M11 如上图所示的应用中, 将转移条件脉冲化,S20 首次动作, 通过 M10 使不产生转移 上升沿 / 下降沿检测触点使用时的注意事项 : 在状态内使用 LDP LDF ANDP ANF ORP ORF 的上升沿 / 下降沿检侧触点时. 状态断开时变化的触点, 在状态再次接通时被检出 对于状态断开时变化的条件, 必需上升沿 / 下降沿检侧时, 请按下图所示, 修改程序 :

58 H2U 编程指令手册 P 58 通过 X0l3 下降沿向 S33 转移后, 若 X0l4 下降. 此时因 S3 断开,X0l4 的下降沿无法检出, S3 再次接通时, 被检测 因此,S3 第 2 次动作时, 立即向 S33 转移 分支与汇合的组合流程

59 H2U 编程指令手册 P 59 S0 STL SET S0 SET S20 LAD0 S0 SET S0 在非 SFC 的回路开头处用这个符号 S20 STL (Y11) 前进 S20 (Y11) 前进 SET S21 S21 (Y11) S21 STL (Y13) 后退 SET S22 S22 后退 后退 T0 K60 S22 STL S23 STL T0 K60 SET S23 (Y13) 前进 S23 S24 前进小 后退 (Y11) 前进 (Y13) 后退 SET S24 S24 STL (Y13) (S0) RET END 后退 步进梯形图结束 LAD1 RET END 步进梯形图结束 6. 应用指令表 应用指令是指除逻辑处理指令之外的编程指令, 指令功能涉及程序流控制 数值计算 高速信号处理 通讯与扩展 特殊控制功能等多个方面 这些指令除了具有指令名之外, 往往还有 功能号 的编号, 便于使用手持编程器设备进行编程 按功能分类列表如下, 每一个指令的功能和使用方法在第 7 章内有详细说明 分类 程序流 FNC 指令符号 NO. 指令 指令功能 00 CJ 有条件跳转 01 CALL 子程序调用 02 SRET 子程序返回 03 IRET 中断返回

60 H2U 编程指令手册 P 60 分类 传送与比较 四则逻辑运算 循环移位 数据处理 FNC 指令符号 NO. 指令 指令功能 04 EI 开中断 05 DI 关中断 06 FEND 主程序结束 07 WDT 监控定时器 08 FOR 循环范围开始 09 NEXT 循环范围终了 10 CMP 比较 11 ZCP 区域比较 12 MOV 传送 13 SMOV 移位传送 14 CML 倒转传送 15 BMOV 一并传送 16 FMOV 多点传送 17 XCH 交换 18 BCD BCD 转换 19 BIN BIN 转换 20 ADD BIN 加法 21 SUB BIN 减法 22 MUL BIN 乘法 23 DIV BIN 除法 24 INC BIN 加 l 25 DEC BIN 减 l 26 WAND 逻辑字与 27 WOR 逻辑字或 28 WXOR 逻辑字异或 29 NEG 求补码 30 ROR 循环右移 31 ROL 循环左移 32 RCR 带进位循环右移 33 RCL 带进位循环左移 34 SFTR 位右移 35 SFTL - 位左移 36 WSFR - 字右移 37 WSFL - 字左移 38 SFWR - 先进先出 写入 39 SFRD - 先进先出 读出 40 ZRST - 区间复位 41 DECO - 解码 42 ENCO - 编码 43 SUM ON 位数 44 BON ON 位数判定 45 MEAN 平均值 46 ANS - - 报警器置位 47 ANR - 报警器复位 48 SOR BIN 平方根 49 FLT 浮点数与十进制数间转换

61 H2U 编程指令手册 P 61 分类 高速处理 方便指令 外部设备 I/O 外设设备 SER 浮点数 FNC 指令符号 NO. 指令 指令功能 50 REF - 输入输出刷新 51 REFE - 滤波器调整 52 MTR - - 距阵输入 53 HSCS - 比较置位 ( 高速计数器 ) 54 HSCR - 比较复位 ( 高速计数器 ) 55 HSZ - 比较区间 ( 高速计数器 ) 56 SPD - - 脉冲密度 57 PLSY - 脉冲输出 58 PWM - - 脉冲幅宽调制 59 PLSR - 带加减速的脉冲输出 SER 数据搜索 62 ABSD 绝对值式凸轮顺控 63 INCD 增量式凸轮顺控 64 TIMR 示教定时器 65 STMR 特殊定时器 66 ALT 交替输出 67 RAMP 斜波信号 68 ROTC 旋转台控制 69 SORT 列表数据排列 70 TKY 0-9 数字键输入 71 HKY 16 键输入 72 DSW 数字开关 73 SEGD 7 段编码 74 SEGL 带锁存的 7 段显示 75 ARWS 矢量开关 76 ASC ASCII 码转换 77 PR ASCII 码打印输出 78 FROM 特殊功能块读出 79 TO 特殊功能块写入 80 RS - - 串行数据传送 81 PRUN 并联运行 82 ASCI - HEX ASCII 转换 83 HEX - ASCII HEX 转换 84 CCD - 校正代码 PID - - PID 运算 ECMP 2 进制浮点数比较 111 EZCP 2 进制浮点数区间比较 118 EBCD 2 进制 10 进制浮点数转 换 119 EBIN 10 进制 2 进制浮点数转 换

62 H2U 编程指令手册 P 62 分类 定位指令 时钟运算 接点比较 FNC 指令符号 NO. 指令 指令功能 120 EADD 2 进制浮点数加 121 ESUB 2 进制浮点数减 122 EMUL 2 进制浮点数乘 123 EDIV 2 进制浮点数除 127 ESOR 2 进制浮点数开平方 129 INT 2 进制浮点数 BIN 整数转 换 130 SIN 浮点数 SIN 运算 131 COS 浮点数 COS 运算 132 TAN 浮点数 TAN 运算 147 SWAP 上下字节变换 155 ABS - ABS 位置数据读取 156 ZRN - 原点回归 157 PLSV - 可变脉冲输出 158 DRVI - 相对位置控制 159 DRVA - 绝对位置控制 160 TCMP - 时钟数据的比较 161 TZCP - 时钟数据区域比较 162 TADD - 时钟数据加法 163 TSUB - 时钟数据减法 166 TRD - 时钟数据读出 167 TWR - 时钟数据写入 HOUR - 计时表 170 GRY 格雷码转换 171 GBIN 格雷码逆转换 224 LD= (S1)=(S2) 225 LD> (S1)>(S2) 226 LD< (S1)<(S2) 228 LD<> (S1)<>(S2) 229 LD<= (S1)<=(S2) 230 LD>= (S1)>=(S2) 232 AND= (S1)=(S2) 233 AND> (S1)>(S2) 234 AND< (S1)<(S2) 236 AND<> (S1)<>(S2) 237 AND<= (S1)<=(S2) 238 AND>= (S1)>=(S2) 240 OR= (S1)=(S2) 241 OR> (S1)>(S2) 242 OR< (S1)<(S2) 244 OR<> (S1)<>(S2) 245 OR<= (S1)<=(S2) 246 OR>= (S1)>=(S2)

63 H2U 编程指令手册 P 指令解释 7.1 基本指令解释 指令 LD LDI LDP LDF X0~ Y0~ M0~M3071 S0~ T0~ C0~ X377 Y377 M8000~M8255 S999 T255 C255 D0~ D8255 LD/LDI/ LDP/LDF 指令用于左母线开始的接点, 其中 : LD/LDI 指令分别是把 A 接点和 B 接点的当前能流状态保存, 同时把取来的接点状态存入累计缓存器内 LDP 指令用于取用接点信号的上升沿, 若本次扫描中检测到对应信号的上升跳变, 则触点有效, 下一次扫描时, 触点即变成无效 LDF 指令用于取用接点信号的下降沿, 若本次扫描中检测到对应信号的下降跳变, 则触点有效, 下一次扫描时, 触点即变成无效 LD X1 LDI X0 LDP X2 LDF X4 X1 X0 X2 X4 (M0) (Y1) (Y3) (M3) 指令 AND ANI ANDP ANDF X0~ Y0~ M0~M3071 S0~ T0~ C0~ X377 Y377 M8000~M8255 S999 T255 C255 D0~ D8255 AND/ANI /ANDP/ANDF 指令用于串联接点的状态运算, 其操作是先读取目前所指定串联接点的状态再与接点之前逻辑运算结果作 与 (AND) 的运算, 并将结果存入累计缓存器内 AND/ANI 指令分别是将 A 接点和 /B 接点的状态参与 AND 运算 ; ANDP 指令是将接点的上升沿跳变状态参与 AND 运算 ; ANDF 指令是将接点的下降沿跳变状态参与 AND 运算 ;

64 H2U 编程指令手册 P 64 指令 OR ORI ORP ORF X0~ Y0~ M0~M3071 S0~ T0~ C0~ X377 Y377 M8000~M8255 S999 T255 C255 D0~ D8255 OR/ORI 指令用于并联接点的状态运算, 其操作是先读取目前所指定接点的状态, 再与接点之前逻辑运算结果作 或 (OR) 的运算, 并将结果存入累计缓存器内 OR/ORI 指令分别是将 A 接点和 /B 接点的状态参与 OR 运算 ; ORP 指令是将接点的上升沿跳变状态参与 OR 运算 ; ORF 指令是将接点的下降沿跳变状态参与 OR 运算 ANB ORB 指令 无 参与块运算的是最近两次 LD( 或 LDI/LDP/LDF) 区间的计算能流 ANB 和 ORB 是将前一保存的逻辑结果与目前累计缓存器旳内容作 与 和 或 的运算

65 H2U 编程指令手册 P 65 LD X0 OR X1 LD X2 OR X3 ANB OUT M0 LD X4 AND X5 LDI X6 AND X7 ORB OUT Y0 指令 MPS MRD MPP 无 MPS: 将目前累计缓存器的内容存入堆栈 ( 堆栈指针加一 ) MRD: 读取堆栈内容存入累计缓存器 ( 堆栈指针不动 ) MPP: 自堆栈取回前一保存的逻辑运算结果, 存入累计缓存器 ( 堆栈指针减一 ) LD X1 AND M0 MPS AND X0 OUT M1 MRD AND X2 OUT Y0 MPP AND X0 OUT Y1 指令 OUT X0~ X377 Y0~ Y377 M0~M3071 M8000~M8255 S0~ S999 T0~ T255 C0~ C255 D0~ D8255 将 OUT 指令之前的逻辑运算结果输出至指定的元件 指令 SET X0~ X377 Y0~ Y377 M0~M3071 M8000~M8255 S0~ S999 T0~ T255 C0~ C255 D0~ D8255

66 H2U 编程指令手册 P 66 当 SET 指令被驱动, 其指定的组件被设定为 ON, 且被设定的组件会维持 ON, 不管 SET 指令是否仍被驱动 可利用 RST 指令将该组件设为 OFF 指令 RST X0~ X377 Y0~ Y377 M0~M3071 M8000~M8255 S0~ S999 T0~ T255 C0~ C255 D0~ D8255 V,Z 当 RST 指令被驱动, 其指定的组件被设定为 OFF, 且被设定的组件会维持 OFF, 不管 RST 指令是否仍被驱动 可利用 SET 指令将该组件设为 ON RST 指令也可用于 D V Z 变量复位, 将指定 D V Z 元件的值清为 0 元件 操作结果 S,M,Y 线圈及接点被设定为 OFF T,C 目前计时或计数值会被设为 0, 且线圈及接点被设定为 OFF D,V,Z 元件的值清为 0 指令 PLS PLF X0~ X377 Y0~ Y377 M0~M3071 S0~ S999 T0~ T255 C0~ C255 D0~ D8255 当 PLS 指令被上升沿驱动时, 其指定的元件被设定为 ON 状态, 该 ON 状态仅持续 1 个扫描周期 ; 当 PLF 指令被下降沿驱动时, 其指定的元件被设定为 ON 状态, 该 ON 状态仅持续 1 个扫描周期 程序举例 : 指令 MC MCR N0~N7

67 H2U 编程指令手册 P 67 MC 为主控起始指令, 当 MC 指令执行时, 位于 MC 与 MCR 指令之间的指令照常执行 当 MC 指 令 OFF 时, 位于 MC 与 MCR 指令之间的指令动作如下所示 : 定时器计数器 OUT 指令驱动的线圈 SET,RST 指令驱动的组件应用命令 计时值归零, 线圈失电, 接点不动作线圈失电, 计数值及接点保持目前状态全部不受电保持目前状态全部不动作 MCR 为主控结束指令, 置于主控程序最后, 在 MCR 指令之前不可有接点指令 MC-MCR 主控程序指令支持巢状程序结构, 最多可 8 层, 使用时依 N0~N7 的顺序, INV 指令 无 将 INV 指令之前的逻辑运算结果反相后存入累计缓存器内 当 INV 指令之前能流为 ON, 经过 INV 后能流变为 OFF; 反之, 变为 ON NOP 指令 无 指令 NOP 在程序不做任何运算, 因此执行后仍会保持原逻辑运算结果, 没有实际操作, 在 AutoShop 编译时, 会自动将之删除, 减少程序空间的浪费, 加快运行速度 FEND END 指令 无 在主程序的末尾才加入 FEND 指令, 以指明用户主程序的结束,PLC 执行时由用户程序的地址 0 扫描到 END 指令, 执行之后, 返回到地址 0 重新作扫描执行 只在梯形图程序或指令程序最后才加入 END 指令 PLC 执行时对超过 END 指令之后的程序空间不再处理 在 AutoShop 编程环境中, 无需用户输入 FEND 或 END 指令, 系统在下载时会自动加入

68 H2U 编程指令手册 P 68 指令 P P0~P127 1) 用于标记主程序中跳转的地址起始, 其中 P63 为指向 END 的专用地址 2) 用于标记子程序的起始地址, 每个子程序都以 SRET 为结束 I I00*~I50*,6 点, 输人中断指针 ; I6**~I8**,3 点, 定时中断指针 ; I010~I060,6 点, 计数中断指针指针 (P) 指针 P 用于跳跃指令 CJ 及子程序呼叫指令 CALL, 使用不须从编号 0 开始, 但是编号不能重复使用, 否则会发生不可预期的错误 使用时机如下所示 : 1. 使用于指令 CJ, 指示程序执行跳跃的目的地址, 并在目标程序的开头输入同编号的指针 P 如下所示: 2. 使用于指令 CALL, 指示子程序的目的地址, 并在子程序的开头输入同编号的指针 P 如下所示:

69 H2U 编程指令手册 P 应用指令解释 FNC CJ P*** 00 CJP P*** 3 步 P000~P127 条件跳转 1) 当能流有效时, 程序自动从 CJ ( 或 CJP) 指令的地址跳转至由 P*** 指定的地址后继续执行, 中间地址的程序指令被跳过, 不予执行 ; 2) 当能流无效时, 程序继续往下执行, 此时 CJ ( 或 CJP) 指令不被执行 若被跨越的中间地址区的程序中有 TMR 定时器或计数器, 且已被驱动, 则动作反应为 : 执行情况 CJ 有跳转 CJ 无跳转 T192~T199 其他定时器 C235~C255 其他计数器 对 P*** 地址指针的要求如下 : 正常执行停止计时正常执行停止计数 正常执行 由 CJ ( 或 CJP) 引用的地址指针, 必须在主程序结束 (FEND 指令 ) 之前的范围 ; P63 特指 END 的地址, 不要定义到其它程序步 ; 与子程序不同,P*** 开始的程序后不需要 SRET 语句作为结束 ; P*** 的定义地址不要有重复 ; 注意跳转的条件, 防止死循环或程序运行超时 程序举例 : X1 CJ P2 可能被跨越的程序 P2 (Y1)

70 H2U 编程指令手册 P 70 FNC CALL P*** 01 CALLP P*** 3 步 P000~P127 子程序调用 当能流有效时, 程序调用由 P*** 指定的子程序 子程序执行完毕, 会返回到该 CALL( 或 CALLP) 语句的下一指令, 继续执行后续语句 对 P*** 地址指针的要求如下 : 由 P*** 开始的子程序, 必须在主程序结束 (FEND 指令 ) 之后的范围 在 AutoShop 环境中, 子程序为单独编辑, 无此限制, 但不得位于主程序中 ; 子程序必须以 SRET 语句结束 ; P*** 的子程序可被多处调用, 也可被其他子程序调用, 但嵌套层数不得超过 5 层 ; 在子程序内不得调用自身, 防止死循环或程序运行超时 在子程序中, 可采用 T192~T199 或 T246 ~T 249 作为定时器 FNC SRET 子程序完毕 02 3 步无需触点驱动, 无的单独指令 SRET 语句位于子程序的结束处, 执行了该指令后, 会退回到调用该子程序的语句处, 继续随后的程序执行 程序举例 :

71 H2U 编程指令手册 P 71 FNC 03 IRET 中断程序完毕 FNC 04 EI 中断允许 1 步 FNC 05 DI 中断禁止 无需触点驱动, 无的单 独指令 IRET 语句位于中断子程序的结束处, 执行了该指令后, 会返回到调用该中断子程序前的语句处, 继续程序执行 PLC 程序开始运行时, 默认为中断禁止状态 ; 执行了 EI 语句后, 中断功能允许 ; 当中断为允许状态, 执行了 DI 语句后, 即进入中断禁止状态 EI DI 中断允许 中断禁止 中断的种类与设置 : 1) 外部信号输入中断 : 可定义 X0~X5 输入信号的上升沿或下降沿进行中断, 对于不需要即时响应的 X 信号, 还可以采用脉冲捕捉的功能 ; 2) 定时器中断 : 以 10ms~99ms 的固定周期发生的中断, FEND 通过设置对 M8059 标志的设置, 可禁止所有计数器的中断 外部信号输入中断指针与设置 : 输入编号 指针编号禁止中断上升中断下降中断指令 X000 I001 I000 M8050 X001 I101 I100 M8051 X002 I201 I200 M8052 X003 I301 I300 M8053 X004 I401 I400 M8054 X005 I501 I500 M8055 中断子程序选用不同的编号, 即选择了不同的端口及中断触发沿 ; 对于同一 X 输入, 不 能同时对上升中断和下降中断编号 对于一个 X 输入端口, 只能使用一种触发沿, 触发沿通 过来设定 ; 如果在对 M8050-M8055 编号过程中 "ON", 则禁止了对应 X 端口的中断功能 中断的编程规定与执行特性 : 在 Dl-EI 指令间 ( 中断禁止区间 ) 发生中断, 亦能对其记忆并在 EI 指令后执行 中断子程序必须写在 FEND 指令之后, 子程序尾部必须以 IRET 结束, 在 AutoShop 环境内, 不要写在主程序中, 子程序尾可省略 IRET; 指针编号不能重复使用 ; 多个中断依次发生时, 以先发生的为优先 完全同时发生时, 以小的指针编号为优先

72 H2U 编程指令手册 P 72 在中断例行程序的执行过程中, 禁止其它的中断 但若在中断子程序内对 EI DI 编程, 可以接受最多为二重的中断 在中断处理过程中控制输入继电器及输出继电器时, 使用输入输出刷新指令 (REFF ), 可以通过读取最新的输入状态 或者立即输出运算结果, 实现高速控制 ; 作为中断指针采用的输入继电器的编号, 请不要与采用相同输入范围的 [ 高速计数器 ] 及 [ 脉冲密度 (FNC56 )] 等的应用命令的编号相重复 子程序及中断例行程序内的定时器, 请采用例行程序用的定时器 T192-T199; 如果采用一般的定时器, 除了不能进行计时外, 在使用 1 ms 累计定时器时亦需加注意 ; 如果指定输入中断指针 I 口 0 口, 则输入继电器的输入滤波特性自动关闭 因此, 无需采用 REFE (FNC51) 指令及特殊数据寄存器 D8020( 输入滤波器调整 ) 另外, 不作为输入中断指针用的输入继电器的输入滤波器能维持 10ms( 初始值 ) FNC FEND 主程序完毕 06 1 步无需触点驱动, 无的单独指令 FEND 语句位于主程序的结束处, 执行了该指令后, 即结束了本次用户程序的扫描 CALL 命令调用的子程序必须写在 FEND 命令后, 并且在该子程序结束加上 SRET 命令 ; 中断子程序也必须写在 FEND 之后, 并在该中断程序结束加上 IRET 指令 在 AutoShop 中, 子程序或中断程序必须单独编写, 在程序的末尾可不必加 SRET 或 IRET FNC WDT 监视定时器复位 07 1 步无的单独指令 PLC 系统内有用于监视用户程序执行一次的时间是否超时的定时器, 若超时即会停止用户程序的执行并报警, 执行 WDT 指令即可将该监视定时器复位, 让监视定时器重新开始计时, 避免超时错误 若用户程序所执行的操作过于复杂 ( 例如过多的循环计算 ), 执行时有 可能出现运行超时错误, 编程时若必要, 可用 WDT 指令 ; 另外, 监视定时器的超时判断默认值为 200ms, 也可根据需要修改 D8000 的设定值

73 H2U 编程指令手册 P 73 3 步 FNC 08 FOR 循环范围开始 指令格式 :FOR 无需触点驱动, 无的单 独指令 FOR 指令用于一个循环的起始, 同时指明循环执行的次数, 必须与 NEXT 指令配套使用 其中 : 为循环次数控制变量 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 参见 NEXT 指令的解释与举例 FNC 09 NEXT 循环范围结束 3 步指令格式 :NEXT ( 无 ) 无需触点驱动, 无的单 独指令 NEXT 指令用于指示循环区域的尾部 由 FOR 指令指定 FOR~NEXT 循环来回执行 N 次后跳出 FOR~NEXT 循环往下继续执行 在 FOR~NEXT 指令的循环区间, 可以嵌入另一个 FOR~NEXT 循环, 但规定 : 从最外层的 FOR~NEXT 计算, 最多可内嵌 4 层 FOR~NEXT 循环 运行时 PLC 会以各 FOR~NEXT 层对应解析执行 有下列情况者, 都会出错 : NEXT 指令在 FOR 指令之前 ; 有 FOR 指令而无 NEXT 指令 ; 在 FEND,END 指令以后有 NEXT 指令 ; FOR 指令与 NEXT 指令个数不一致等

74 H2U 编程指令手册 P 74 7 步 13 步 13 步 FNC 10 CMP 两个数比较 指令格式 :CMP 本指令完成两个操作变量的比较, 将比较结果输出给指定的位变量, 均按有符号数进 行代数比较操作 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 其中会占用 3 个连续地址的位变量, 参见下例 : X0 S1 S2 D CMP K100 C23 M0 M0 K100> C23,M0 ON M1 M2 K100= C23,M1 ON K100< C23,M2 ON X0=OFF 时, 不执行 CMP 指令,M0~M2 仍保持 X0 OFF 之前的状态 7 步 13 步 13 步 FNC 11 ZCP 区间比较 指令格式 : ZCP 需要触点驱动, 有 4 个操作变量 当控制能流有效时, 按有符号数进行代数比较操作, 以 为区间, 将 的值位于该区间的位置作为结果, 存入 为起始地址的 3 个连 续位变量中 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z

75 H2U 编程指令手册 P 75 其中 : 为比较区间的下限 ; 为比较区间的上限 ; 为比较变量 ; 为比较结果存储单元, 会占用 3 个连续地址的位变量, 参见下例 : 5 步 9 步 9 步 FNC 12 MOV 数据移动 指令格式 : MOV 需要触点驱动, 有 2 个操作变量, 将的值复制到中 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 当为 32bit 指令 (DMOV) 时, 和 都会使用相邻高地址的变量单元参与运算 例如语 句 : DMOV D1 D5 的操作结果是:D1 D5;D2 D6 11 步 11 步 FNC 13 SMOV 移位传送 指令格式 : SMOV 需要触点驱动, 最多有 5 个操作变量, 其中 :

76 H2U 编程指令手册 P 76 为待复制的数据源变量 ; 为数据源传送的起始位号, 这里的 位 是指 BCD 格式的位,(1~4) 范围 ; 为数据源传送的位数, 这里的 位 也是指 BCD 格式的位,(1~m1) 范围 ; 为数据源传送的目的变量 ; 为数据源传送的目的变量的起始位, 这里的 位 也是指 BCD 格式的位,(m2~4) 范围 操 作 位元件 字 元 件 数 X Y M S K H KnX KnY KnM KnS T C D V Z 数据位的传送过程与特殊标志 M8168 的状态有关, 参见下例 : M4 (M8168) S m1 m2 D n M8000 SMOV D8 K4 K2 D2 K ( 无变化 ) ( 无变化 ) M4=0 时, 计算过程如下 : D8(BIN 进制 16bit) ( 自动转换 ) D8(BCD 格式 4 位 ) ( 位移动 ) D2(BCD 格式 4 位 ) ( 自动转换 ) D2(BIN 进制 16bit) ( 无变化 ) ( 无变化 ) M4=1 时, 计算过程如下 : D8(HEX 格式 4 位 ) ( 位移动 ) D2(HEX 格式 4 位 )

77 H2U 编程指令手册 P 77 5 步 9 步 9 步 FNC 14 CML 数据取反传送 指令格式 : CML 需要触点驱动, 有 2 个操作变量, 将的 BIN 值逐位取反后复制到中 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 当的位数不足 16bit 时, 将取反后按低位对齐传送到变量中 ; 当为 32bit 指令 (DMOV) 时, 和都会使用相邻高地址的变量单元参与运算 例如语 句 : DCML D1 D5 的操作结果是 :/D1 D5;/D2 D6 7 步 7 步 FNC 15 BMOV 数据成批传送 指令格式 : BMOV 需要触点驱动, 有 3 个操作变量, 将由指定起始地址的个变量值复制到由指 定起始地址的 个单元中 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=1~512 其中的取值范围是 1~512 M8000 S D n BMOV D0 D10 K4 完成的操作是 : D0 D10 D1 D11 D2 D12 D3 D13 当为位元件时, 和位数必须相等

78 H2U 编程指令手册 P 78 S D n M8000 BMOV K1M0 K1Y0 K3 完成的操作是 : M0 Y0 M1 Y1 M2 Y2 M3 Y3 M4 Y4 M5 Y5 M6 Y6 M7 Y7 M8 Y10 M9 Y11 M10 Y12 M11 Y13 n=3 当特殊变量 M8024=1 时, 成批传送的方向相反, 即将由指定起始地址的个变量值 复制到由指定起始地址的个单元中 7 步 13 步 13 步 FNC 16 FMOV 数据多点传送 指令格式 : FMOV 需要触点驱动, 有 3 个操作变量, 将由的数据复制到由指定起始地址的个单 元中 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=1~512 其中的取值范围是 1~512 5 步 9 步 9 步 FNC 17 XCH 数据交换 指令格式 : XCH 需要触点驱动, 有 2 个操作变量, 将和的值彼此交换 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z

79 H2U 编程指令手册 P 79 当特殊变量 M8160=1 时, 且与为同一地址, 完成的操作将是高 8 位与低 8 位的交换, 相当于 SWAP 指令的操作 5 步 9 步 9 步 FNC 17 BCD BCD 交换 指令格式 : BCD 需要触点驱动, 有 2 个操作变量, 将 的值进行 BCD 变换后存入 中 该指令常用 于将数据显示前的数据格式处理 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 使用 16bit 指令, 当转换结果超过 9999 时会出错 ; 使用 32bit 指令, 当转换结果超过 时会 出错 5 步 9 步 9 步 FNC 17 BIN BIN 交换 指令格式 : BIN 需要触点驱动, 有 2 个操作变量, 将 的值进行 BIN 变换后存入 中 该指令常用于 将外部端口读入数据 ( 如编码盘设置 ) 处理成能直接用于运算的 BIN 格式 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 转换前 中的每一个 BCD 位的值都须为 0~9 范围, 否则会出错 7 步 13 步 13 步 FNC 20 ADD BIN 加法运算 指令格式 : ADD 需要触点驱动, 有 3 个操作变量, 将和的值进行 BIN 代数相加后存入中,

80 H2U 编程指令手册 P 80 参与运算的变量都按有符号数处理, 最高位为符号位,0 为正数,1 为负数 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 若计算结果为 0, 则 0 标志 (M8020) 会置位 ; 若计算结果超过 32,767(16bit 运算 ) 或 -2,147,483,647(32bit 运算 ) 时, 进位标志 (M8021) 会置 位 ; 若计算结果不满 -32,768(16bit 运算 ) 或 -2,147,483,648(32bit 运算 ) 时, 借位标志 (M8022) 会 置位 ; 进行 32bit 运算时, 指令中变量地址为为低 16bit 地址, 相邻高编号地址单元为高 16bit, 编程时防 止重复或误覆盖 7 步 13 步 13 步 FNC 21 SUB BIN 减法运算 指令格式 : SUB 需要触点驱动, 有 3 个操作变量, 将 和 的值进行 BIN 代数相减后存入 中, 参与运算的变量都按有符号数处理, 最高位为符号位,0 为正数,1 为负数 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 若计算结果为 0, 则 0 标志 (M8020) 会置位 ; 若计算结果超过 32,767(16bit 运算 ) 或 -2,147,483,647(32bit 运算 ) 时, 进位标志 (M8021) 会置 位 ; 若计算结果不满 -32,768(16bit 运算 ) 或 -2,147,483,648(32bit 运算 ) 时, 借位标志 (M8022) 会置位 ; 进行 32bit 进行 32bit 运算时, 指令中变量地址为为低 16bit 地址, 相邻高编号地址单元为高 16bit, 编程时防 止重复或误覆盖

81 H2U 编程指令手册 P 81 7 步 13 步 13 步 FNC 22 MUL BIN 乘法运算 指令格式 : MUL 需要触点驱动, 有 3 个操作变量, 将 和 的值进行 BIN 代数相乘后存入 中, 参与运算的变量都按有符号数处理, 最高位为符号位,0 为正数,1 为负数 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 表中 V Z 元件仅在 16bit 运算时可用 进行 32bit 运算时, 指令中变量地址为为低 16bit 地址, 相邻高编号地址单元为高 16bit, 编程时防 止重复或误覆盖 ; 计算的结果只能为 32bit, 对于超出 32bit 范围的计算, 最好采用浮点运算指令 EMUL 进行计算 S1 S1 S2 S2 D D D D * = 符号位符号位符号位 7 步 13 步 13 步 FNC 23 DIV BIN 除法运算 指令格式 : DIV 需要触点驱动, 有 3 个操作变量, 将被除数和除数的值进行 BIN 代数相乘后存入 中, 参与运算的变量都按有符号数处理, 最高位为符号位,0 为正数,1 为负数 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z

82 H2U 编程指令手册 P 82 表中 V Z 元件仅在 16bit 运算时可用 进行 32bit 运算时, 指令中和变量地址为为低 16bit 地址, 相邻高编号地址单元为高 16bit, 编程时防止重复或误覆盖 ; 计算所得的商存入所指单元, 余数存入相邻高地 址单元中 若除数为 0, 会发生计算错误 ; 若将位元件 (KnX/KnY/KnM/KnS) 指定为, 不能得到余数 ; 若被除数为负数, 余数即为负数 3 步 5 步 5 步 FNC 24 INC BIN 加 1 运算 指令格式 : INC 指令每执行一次, 中的数值增加 1 3 步 5 步 5 步 FNC 25 DEC BIN 减 1 运算 指令格式 : DEC 指令每执行一次, 的数值减 1 增减计算都按无符号方式进行, 对 0 标志 进位 借位标志都不刷新 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 进行 32bit 运算时, 指令中 变量地址为为低 16bit 地址, 相邻高编号地址单元为高 16bit, 编程 时防止重复或误覆盖

83 H2U 编程指令手册 P 83 7 步 13 步 13 步 FNC 26 WAND 逻辑与 指令格式 : WAND (32bit 指令符为 DAND) 本指令执行时, 将和中 BIN 值的各位对应作 逻辑与 运算, 将结果存入变 量 7 步 13 步 13 步 FNC 27 WOR 逻辑或 指令格式 : WOR (32bit 指令符为 DOR) 本指令执行时, 将和中 BIN 值的各位对应作 逻辑或 运算, 将结果存入变 量 7 步 13 步 13 步 FNC 28 WXOR 逻辑异或 指令格式 : WXOR (32bit 指令符为 DXOR) 本指令执行时, 将 和 中 BIN 值的各位对应作 逻辑异或 运算, 将结果存入 变量 上述三个指令的适用变量类型如下表, 当为 32bit 指令时, 寄存器变量则占用后续相 邻地址的共 2 个单元 : 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 7 步 13 步 13 步 FNC 29 NEG 求补运算 指令格式 : NEG 需要触点驱动, 有 1 个操作变量 将的数值逐位取反 再加 1, 存回中 使用 NEG 指令, 可得到与负的 BIN 值相对应的绝对值

84 H2U 编程指令手册 P 84 5 步 9 步 9 步 FNC 30 ROR 循环右移 指令格式 : ROR 将的内容循环右移位 5 步 9 步 9 步 FNC 30 ROL 循环左移 指令格式 : ROL 将的内容循环左移位 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=1~16(16bit);n=1~32(32 bit) 若中指定 KnY KnM KnS 时, 只有 K4(16bit) 及 K8(32 bit) 有效 ; 循环移动的最终位被存入进位标志中

85 H2U 编程指令手册 P 85 5 步 9 步 9 步 FNC 32 RCR 带进位循环右移 指令格式 : RCR 将的内容带进位循环右移位 5 步 9 步 9 步 FNC 33 RCL 带进位循环左移 指令格式 : RCL 将的内容带进位循环左移位 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=1~16(16bit);n=1~32(32 bit) 若中指定 KnY KnM KnS 时, 只有 K4(16bit) 及 K8(32 bit) 有效 ; 7 步 7 步 FNC 34 SFTR 位右移 指令格式 : SFTR

86 H2U 编程指令手册 P 86 对于位变量, 将地址起始的位变量与地址起始的变量, 按向右方向移 动位后, 将结果保存在中 7 步 7 步 FNC 35 SFTL 位左移 指令格式 : SFTL 对于位变量, 将地址起始的位变量与地址起始的变量, 按向左方向移 动位后, 将结果保存在中 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n 常数,n2 n1 SFTR 命令举例 : SFTL 命令举例 :

87 H2U 编程指令手册 P 87 9 步 9 步 FNC 36 WSFR 字右移 指令格式 : WSFR 以字为单位, 将地址起始的字变量与地址起始的字变量, 按向右方向 移动 个字 9 步 9 步 FNC 37 WSFL 字左移 指令格式 : WSFL 以字为单位, 将地址起始的字变量与地址起始的字变量, 按向左方向 移动 个字 位元件 字 元 件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n1 512 常数,n2 n1 WSFR 命令举例 : X010 D3 D2 D1 D0 S D n1 n2 WSFR D0 D10 K16 K4 n2 D 13~D 10 D 17~D 14 D 13~D 10 D 21~D 18 D 17~D 14 D 25~D 22 D 21~D 18 D 3 ~ D 0 D 25~D 22 D25 D24 D23 D22 D21 D20 D19 D18 D17 D16 D15 D14 D13 D12 D11 D10 WSFL 命令举例 :

88 H2U 编程指令手册 P 88 7 步 7 步 FNC 38 SFWR 先进先出 写入 指令格式 : SFWR 将的值写入由地址起始, 个数为的 先进先出 队列中 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z X000 常数,2 n 512 S D n SFWR D0 D1 D10 指示器 D 0 D 10 D 9 D 8 D 7 D 6 D 5 D 4 D 3 D 2 D 当 X0=1 时,D0 的内容被存入 D2,D1 的内容变为 l 当 X0 再次从 OFF ON 时, 这个 D0 的内容被存入 D3, D1 的内容变为 2 连续执行型指定中, 每一个扫描周期都执行依次保 存 若 Dl 的内容超过 n - 1, 则指令不处理, 而进位标志 M8022 会置 1 7 步 7 步 FNC 39 SFRD 先进先出 读出 指令格式 : SFRD 从 先进先出 队列的首项读出到中, 然后将队列逐字右移 1 个字, 将队列 指针递减 若指针已经为 0, 则指令不处理前述操作, 而 0 标志 M8020 会置 1

89 H2U 编程指令手册 P 89 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,2 n 步 5 步 FNC 40 ZRST 区间复位 指令格式 : ZRST 将至区间的变量全部清 0 和可指定字变量, 也可为 Y M S 位变量 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 其中要求 : 和必须为同一类型的软元件 ; 编号应不大于, 若两者相同时, 仅复位指定的软元件 ; 本指令为 16bit, 但和可指定 32bit 的计数器, 但应同为 32bit 型或同为 16bit 型 ; 7 步 7 步 FNC 41 DECO 解码 指令格式 : DECO 计算的最后 (2^ ) 位的值, 作为 bit 位指针, 将的对应位置 1, 其他位清 0 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=1~8 若 n=0, 指令不执行 ; 其他值则执行出错

90 H2U 编程指令手册 P 90 源地址的低 n 位 (n 4) 被解码至目标地址 n 3 时, 目标的高位都转为 0; n = 0 时不命令不执行, n = 0~8 以外时为运算错误 ; n=8 时, 如果译码命令为位软元件时, 其点数是 256 点 驱动输入为 OFF 时, 指令不执行, 正在动作的译码输出保持动作 编程举例 : 7 步 7 步 FNC 42 ENCO 解码 指令格式 : ENCO 计算的最后位的值, 作为 bit 位指针, 将的对应位置 1, 其他位清 0 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=1~8 若 n=0, 指令不执行 ; 其他值则执行出错 源地址内有多个位是 1 时, 只计算高位侧 ; 的所有位都为 0 时会出现运算错误 ; 驱动输入为 OFF 时, 指令不被执行, 编码输出不变化 n=8 时, 编码指令的如果是位元件, 其点数是 256 点

91 H2U 编程指令手册 P 91 5 步 9 步 9 步 FNC 43 SUM ON 位数 指令格式 : SUM 计算的 BIN 进制值中为 1 的位个数, 存入 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 使用 D SUM 和 D SUM P 指令的情况下,(D1,D0) 的 32 位中的 1 的个数写入 D2, 同时 D3 全 部为 0 5 步 9 步 9 步 FNC 44 BON ON 位数 指令格式 : BON 测试的位的状态, 结果存入 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z

92 H2U 编程指令手册 P 92 n=0~15(16bit);n=0~31(32bit) 7 步 13 步 13 步 FNC 45 MEAN 平均值 指令格式 : MEAN 将由开始的个变量的平均值 ( 先求和, 再除以 n), 存入 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=1~64, 其他值时, 计算会出错 若计算中有余数, 余数将被舍弃 ; 若指定的变量地址超出有效范围, 则只有有效的地址部分被处理 7 步 FNC 46 ANS 报警器置位 指令格式 : ANS 驱动信号报警器的方便指令 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,m=1~32767,( 单位为 100ms) 其中的范围为 S900~S999 例如如下指令 :

93 H2U 编程指令手册 P 93 如果 X1 和 X2 同时接通 l 秒以上, 则 S900 被置位, 以后即使 X1 或 X2 为 OFF 状态,S900 仍保持动作状态 若不满 1 秒, X1 或 X2 变为 OFF 时, 定时器复位 如果预先使 M8049( 信号报警器有效 ) 置 ON, 则信号报警器 S900~S999 中最小 ON 状态编号被存入 D8049(ON 状态最小编号 ) 另外, 当 S900~S999 中任意一个为 ON 时,M8048( 报警器动作置 ON) FNC 47 ANR 报警器置位 1 步 1 步指令格式 : ANS ( 无 ) 清除报警器信号的方便指令 例如 : 如果 X3 接通, 则信号报警器 S900~S999 中正在动作的报警点被复位 如果同时有多个报警 点动作时, 则复位最新的一个报警点 若将 X3 再次接通, 则下一编号的状态被复位 实际使用中多用 ANSP 指令 5 步 9 步 9 步 FNC 48 SQR 求平方根 指令格式 : SQR 将按 BIN 值开平方运算, 结果存入 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 仅在为正数时计算才有效, 如负数时运算错误标志 M8067 会工作, 指令不被执行 ; 运算结果舍去小数为整数 舍去时, 借位标志 M8021 会动作 ; 运算结果是 0 时, 零位标志 M8020 会动作

94 H2U 编程指令手册 P 94 5 步 9 步 9 步 FNC 49 FLT BIN 整数至浮点数的转换指令 指令格式 : FLT 将整数转换为浮点数, 结果存入和 +1 单元 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数 K H 在各浮点运算指令中被自动转换, 因此在本 FLT 指令中不能使用 这个指令的逆变换指令是 FNC129(INT) 指令举例 : 5 步 5 步 FNC 50 REF 输入输出端口状态刷新 指令格式 : REF 将地址开始的个元件状态进行立即更新 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=8~256, 且为 8 的倍数由于 PLC 访问端口是按字节访问的特性, 故要求 : 的地址应为 X0 X10 Y0 Y10... 编号元件 ; 的值必须是 8 的倍数 正常情况下, 输入端口 X 的状态读取在每次程序扫描执行之前进行, 输出端口 Y 的状态刷新则在每次程序扫描执行之后批次进行, 这样 IO 处理会有一定的延迟 若应用中需要最新的输入信息以及希望立即输出运算结果时, 可以使用立即刷新指令 REF 一般 REF 指令用于中断子程序中的高速响应处理, 可用在 FOR~NEXT 指令之间 CJ

95 H2U 编程指令手册 P 95 指令之间等 例如 : 执行上述程序时, 若 X0 为 ON 状态, 会立即将 Y0~Y17 的状态进行刷新 实际的端口状态 变化延迟决定于输出元件 ( 如继电器 ) 的响应时间 5 步 5 步 FNC 51 REFF 输入滤波调整 指令格式 : REFF 将 X0~X17 输入端口的滤波时间常数设定为 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=0~60, 单位为 ms 可编程控制器中,X000~X0l7 使用了数字滤波器, 默认的滤波时间常数由 D8020 设定, 通过 REFF 指令可将其值改变为 0~60ms 但实际上该输入设有最小的 C-R 滤波,X0~X5 约为 10µs; X6~X17 约 50µs; 其余的 X 端口则只有硬件 RC 滤波, 滤波时间常数约为 10ms, 不能修改 ; 当使用了高速计数器, 或 X 输入端中断功能, 则相关端口的滤波时间自动为最短时间, 无关的端口的滤波时间仍为原设定值 举例如下 : X0 X10 X 0 X1 M8000 X0 X1 REFF K5 REFF K20 滤波时间由 D8020 决定 滤波时间为 5ms 滤波时间为 20ms END X10 为 ON 时, 将 X000~X0l7 的输入滤波时间设为 5ms,REFF 指令在每扫描周期执行 ;X10 为 OFF 时, 该指令不执行 ; 当运行了 REFF 指令后, 随后的滤波时间即按刚设定的值处理, 直到另一条 REFF 指令的

96 H2U 编程指令手册 P 96 执行 ; 当运行到 END( 或 FEND) 后, 滤波时间恢复到 D8020 的设定值 5 步 5 步 FNC 52 MTR 矩阵输入 指令格式 : MTR 通过将多个 X 端口与若干个 Y 端口组成矩阵输入网络, 以扩大输入信号的通道数 其中 : 为矩阵输入的硬件 X 端口的起始地址, 要求为 X0 X10 等地址号 ; 为矩阵扫描输出的硬件 Y 端口的起始地址, 要求为 Y0 Y10 等地址号 ; 为矩阵扫描读取状态的存放单元的起始地址, 要求为 Y0 M0 S0 等地址号 ; 为矩阵扫描的列数, 即扫描用 Y 输出的个数 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=2~8 例如指令 : 适用如下接线 : 考虑到 X 输入滤波应答延迟 10ms,Y30 Y31 输出按每 20ms 顺序中断, 进行即时输入输出处 理 ; 每次自动读取操作完成后, 标志 M8029 置位一个扫描周期 ;

97 H2U 编程指令手册 P 97 若通过 8 点 X 输入和 8 点晶体管 Y 输出, 可获得 64 点的扫描输入, 但是此时所有输入的读取需要 20ms 8 列 =160ms 时间, 不适应高速输入操作, 故一般使用 X20 以后的端口作扫描输入 ; 该指令在程序中只能使用一次 13 步 FNC 53 HSCS 比较置位 ( 高速计数器 ) 指令格式 : HSCS 当计数器的当前值等于设定值时, 立即置位 其中 : 为设定的比较值, 其值的宽度 (bit 位数 ) 决定于计数器的位数 ; 变量必须为高速计数器 C235~C240,C241~C244,C246~C249,C251~C254, 因涉及的计数器均为 32bit 计数器, 故必须采用 32bit 指令 DHSCS; 为比较结果的存放单元, 也可以是调用计数中断子程序 : 当为 Y0~Y17 范围端口时, 为立即输出 ; 当为 Y20 以后的端口时, 会等到本次用户程序扫描完毕才会输出 ; 当为 M S 变量时, 也为立即刷新 ; 当 项为 I010~I060 时, 即为调用高速计数器中断 0~5 的子程序 当然必需编写好相应的 中断子程序 开启相应中断允许标志和全局中断允许标志等, 才能正常响应定时器中断 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 例如指令 X1 C255 (C255 K100) (Y10) M8000 C255 K2,123,456,789 S1 S2 D DHSCS K100 C255 Y10 使用说明 : 使用 HSCS 指令时, 应保证所使用的计数器已被启用 ( 见上例 ), 否则该计数器的值将不会

98 H2U 编程指令手册 P 98 有变化 ; 计数器是以中断方式响应计数器的输入信号, 及时比较, 若本次比较时满足匹配关系, 比较输出立即置位, 例如上图中, 若 C255 的当前值变为 或 时,Y010 立即置位, 且一直保持该状态, 除非有另外的复位指令操作 ; 指令的比较输出只决定于脉冲输入时的比较结果动作, 即使采用 DMOV 指令等改写作为比较对象的字软元件的内容, 若没有脉冲输入, 比较输出也不会变化 ; 单纯的指令驱动能流也不能改变比较结果 ; 指令输出若为 Y 端口, 必须为 Y0~Y17 范围, 这样才能保证输出得到立即响应 ; 多次驱动 HSCS 指令或与 HSCR HSZ 指令同时驱动, 对象输出 Y 的高 2 位作为同一序号的软元件 例 : 使用 Y000 时为 Y000~ Y007, Y010 时为 Y010~Y017 等 ; 当 HSCS 指令的输出目标为中断 I010~I060 时, 每个中断号只能使用 1 次, 不可重复 HSCS HSCR HSZ 与普通指令一样可以多次使用, 但这些指令同时驱动的个数限制在总计 6 个指令以下 13 步 FNC 54 HSCR 比较复位 ( 高速计数器 ) 指令格式 : HSCR 当计数器的当前值等于设定值时, 立即复位 其中 : 为设定的比较值, 其值的宽度 (bit 位数 ) 决定于计数器的位数 ; 变量必须为高速计数器 C235~C240,C241~C244,C246~C249,C251~C254, 因涉及的计数器均为 32bit 计数器, 故必须采用 32bit 指令 DHSCR; 为比较结果的存放单元 : 当为 Y0~Y17 范围端口时, 为立即输出 ; 当为 Y20 以后的端口时, 会等到本次用户程序扫描完毕才会输出 ; 当为 M S 变量时, 也为立即刷新 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 例如指令 :

99 H2U 编程指令手册 P 99 使用说明 : HSCR 指令的动作原理和 HSCS 指令相似, 只是 HSCR 的比较输出动作与 HSCS 指令刚好相反, 即计数器的值达到相等时, 指定的输出复位, 因此使用中的一些规定可参考 HSCR 的说明 FNC 55 HSZ ( 高速计数器 ) 区间比较 ( 脉冲表格比较 ; 频率控制模式 ) 17 步 指令格式 : HSZ 根据计数器的当前值, 与设定的比较区间和进行比较, 将比较结果立即输 出到以地址起始的 3 个单元中 其中 : 为设定的比较区间区间下限, 其值的宽度 (bit 位数 ) 决定于 计数器的位数, 其值 必须不大于, 即 ; 为设定的比较区间区间上限, 其值的宽度 (bit 位数 ) 决定于 计数器的位数, 其值 必须不小于, 即 ; 变量必须为高速计数器 C235~C240,C241~C244,C246~C249,C251~C254, 因涉及的计 数器均为 32bit 计数器, 故必须采用 32bit 指令 DHSZ; 为比较结果的存放单元, 占用以 起始的 3 个连续地址的单元 : 当为 Y0~Y17 范围端 口时, 为立即输出 ; 当为 Y20 以后的端口时, 会等到本次用户程序扫描完毕才会输出 ; 当为 M S 变量时, 也为立即刷新 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z

100 H2U 编程指令手册 P 100 例如指令 使用说明本指令的动作原理和 HSCS HSCR 等指令相似, 差别是采用了两个比较值, 比较输出使用了 3 个连续的地址单元, 因此使用中的一些规定可参考 HSCR 的使用说明 ; HSZ 指令也是以中断方式进行工作的, 只有当计数器对应的输入端有计数脉冲时, 比较才会进行, 对应的输出才会被刷新 ; 表格高速比较模式将指令 DHSZ 中的指定为特殊辅助继电器 M8130, 即表明为高速表格比较模式, 指令中的各变量将按表格方式进行解析, 说明如下 : 只对应数据寄存器 D 变量, 用于表示比较表格的起始地址 可用带 V 或 Z, 指令启动后不再受 V 或 Z 的影响 ; 只可用常数变量 K H, 用于表示表格的行数, 被限制为 1 (K, H) 128, 可用带 V 或 Z, 指令启动后不再受 V 或 Z 的影响 ; 可以指定为高速计数器 C235~C255; 为 M8130, 指明为高速表格比较模式 例如 :

101 H2U 编程指令手册 P 101 等效的比较表格为 : 表格起比较值 (32bit) 表格计数 Y 输出 ON/OFF 始器低字高字编号状态变量为 D0 D8130 D0 D1 D2 D3 0 表格行 D4 D5 D6 D7 1 数 D8 D9 D10 D11 2 为 K4 D12 D13 D14 D15 3 K100 K0 H10 K1 执行时计 参数举例 K150 K0 H11 K1 数器 K200 K0 H10 K0 依次循环 K300 K0 H11 K0 说明 在接收到第 1000 个脉冲时有动作 ( 表格中各行比较值应依次增大 ) Y10 端口动作 ( 若为 H11 则表示 Y10) 动作是置为 ON ( 若为 K0 则表示 OFF 动作 ) 执行过程说明 : 当所指定的高速计数器 C251 的当前值等於 (D1 D0) 設定值的時候 D2 所指定的輸出 Y 被復歸成 OFF(D3=K0) 或是 ON(D3=K1) 並保持住 而輸出 Y 的動作完全以中断方式來处理 当 C251 的当前值与表格的第一组设定值相等时,D8130=K1 与第一组设定值相等时, D8130=K2, 如此的往下順序執行比较操作, 直到最后一组比较动作完成时,M8131=ON 一个扫描周期, 之后 D8130 清除为 0, 再返回到第一组进行比较 当指令的条件接点 X10 变成 OFF 时, 指令执行被中断, 表格计数器 D8130 被清 0, 但指令相关的输出状态全部被保持 本指令在被第一次扫描执行, 直到 END 指令后, 比较表格的各项设置即确定下来, 因此表格

102 H2U 编程指令手册 P 102 中的各参数设置需在本指令之前设置完成 表格比较指令在用户程序中只能使用一次 此外, 与其他用途使用的 HSCS/HSCR/HSZ 指令结 合, 可以同时驱动的指令被限制在 6 点以下 由指令 HSZ 和 PLSY 指令实现频率控制模式将指令 DHSZ 中的指定为频率控制模式说明用特殊辅助继电器 M8132, 通过与 DPLSY 指令的组合, 可实现一个高速计数器的当前值控制 DPLSY 输出频率的功能 说明如下 : 只对应数据寄存器 D 变量, 用于表示比较表格的起始地址 可用带 V 或 Z, 指令启动后不再受 V 或 Z 的影响 ; 只可用常数变量 K H, 用于表示表格的行数, 被限制为 1 (K, H) 128, 可用带 V 或 Z, 指令启动后不再受 V 或 Z 的影响 ; 可以指定为高速计数器 C235~C255; 为 M8132, 指明为根据高速计数值 HSZ 指令来控制 PLSY 输出频率模式 本指令在用户程序中只能使用一次 ; 表格中的各个寄存器值需事先设定好 ; 例如 : 表示根据 C251 的当前频率, 控制 Y0 输出频率的工作模式, 等效的比较与输出频率表格为 :

103 H2U 编程指令手册 P 103 表格起始变量为 D0 表格行数为 K5 参数举例 比较值 (32bit) 输出频率 (32bit) 表格计数 低字 高字 低字 高字 器 D8131 D0 D1 D2 D3 0 D4 D5 D6 D7 1 D8 D9 D10 D11 2 D12 D13 D14 D15 3 D16 D17 D18 D19 4 K1000 K0 K2000 K0 执行时计 K1500 K0 K3000 K0 数器 K2000 K0 K5000 K0 4 0 依次 K3000 K0 K9000 K0 循环 K0 K0 K0 K0 说明 执行过程说明 : 接收脉冲后进行比较, ( 如第 1000 个脉冲 ) 匹配时改变输出频率 ( 表格中各行比较值应依次增大, 最后一行可设为 0 ) Y0 端口的输出频率改变为对 应表格栏的设定值 Y0 输出频率 C251 读数 预先将所定的数据写入构成表格的数据寄存器, 并有指令启动指定的高速计数器 C251, 运行中请勿改变表格内容的设置 ; 当 C251 的当前值小于 (D1,D0) 时,PLSY 指令的输出频率为 (D3, D2) 的值 ; 当 C251 的当前值等于 (D1,D0) 时,PLSY 指令的输出频率变为 (D7, D6) 的值 ; 当 C251 的当前值等于 (D5,D4) 时,PLSY 指令的输出频率变为 (D11, D10) 的值 ; 依此类推 ; 最后一行的操作完毕, 完成标志 M8133 动作 并回到第一行重复运作 ; 若希望在最后一行停止动作时, 将最后的表格的频率置为 K0; 驱动输入 X010 为 OFF 时, 脉冲输出变成 OFF, 表格计数 D8131 也复位 ; 该项指令在初次指令执行后的 END 指令完成表格制作, 其后开始有效 因此, 为了使 PLSY

104 H2U 编程指令手册 P 104 指令, 从驱动输入 X10 为 ON 后的第 2 个扫描周期开始动作, 采用 PLS Ml0 的触点 注意事项 : 采用频率控制模式时, 编程中使用其他的 PLSY 指令以及 PLSR 指令, 无法同时得到 2 路脉冲输出 7 步 FNC 56 SPD 脉冲密度 指令格式 : SPD 将指定端口在时间内检测到的脉冲数, 保存到地址单元 其中占用 3 个连续的单元, +1 为实时脉冲计数值 ; +2 为完成本次采样周期的剩余时间 脉冲信号输入端口, 只能为 X0~X5; 为设定的脉冲检测时间长度 (ms); 为设定时间长度内接收的脉冲个数 ; 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 例如指令 在图例中,X7 置 ON 时,Dl 对 X0 的 OFF ON 动作计数,1000ms 后将其结果存入 D0, 随之 Dl 复位, 再次对 X000 的动作计数 D2 用于测定剩余时间

105 H2U 编程指令手册 P 105 因此根据 D0 的设定值就可以求得脉冲的频率 ; 若脉冲信号取自旋转编码器, 可求得 转速等 ; 在此被指定的输入 X000~X005 端口, 不能再用于高速计数器或中断输入 SPD 指令指定脉冲输入端口的 ON/ OFF 的最大频率与其 1 相高速计数器的频率限制相同, 且与高速计数器 PLSY 以及 PLSR 指令同时使用时, 必须将这些处理频率合计值限制在规定频率以下 7 步 13 步 FNC 57 PLSY 脉冲输出 指令格式 : PLSY 由于继电器不适合高频率动作, 只有晶体管输出型 PLC 才能使用该指令 指令功能是由 指定的端口, 以的频率, 输出个脉冲, 脉冲发送完毕,M8029 标志被置位 其中 : 为脉冲输出端口, 对于 MT 型晶体管输出型主模块, 只能选择 Y0 Y1; 对于 MTQ 型晶 体管输出型主模块, 可选择 Y0/Y1/Y2/Y3/Y4 等端口 ; 为设定的输出脉冲频率, 对于 16bit 指令 (PLSY), 设定范围为 1~32,767; 对于 32bit 指令 (DPLSY), 设定范围为 1~100,000( 即 1Hz~100kHz); 为设定的脉冲输出个数, 对于 16bit 指令 (PLSY), 设定范围为 1~32,767; 对于 32bit 指令 (DPLSY), 设定范围为 1~2,147,483,647 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 例如指令

106 H2U 编程指令手册 P 106 使用 PLSY(16bit 指令 ) 时, 和都只能是 16bit 宽度, 且为有符号数 ; 使用 DPLSY(32bit 指令 ) 时, 和若为 D C T 变量, 则按 32bit 宽度有符号数处 理 ; 当执行到 PLSY 指令后,Y 即开始输出脉冲 ; 运行中若改变元件 ( 为 D C T 变量 ) 的参数值, 对当前输出的脉冲数没有影响, 将从下一次启动该指令时生效 ; 在 PLSY 输出脉冲过程中, 若指令能流 X0 变为 OFF, 则输出脉冲被停止 ; 若 X0 变为 ON, 将从当前 PLSY 指令的设置重新开始脉冲输出 使用说明 : PLSY 所用的输出 Y 端口不要与 PWM 或 PLSR 指令所用的 Y 端口重复 ; 可以使用 2 个 PLSYPLSY 指令, 或 2 个 PLSR 指令, 分别对应 Y0 Y1 端口 ; 若使用了 HSZ 与 PLSR 指令组合的高速频率控制模式, 无法同时得到 2 点频率输出 ; 若当前正在执行 PLSY 和 PLSR 的两路脉冲输出, 无法同时运行 SPD 指令 ; HSCS HSCR HSZ 与普通指令一样可以多次使用, 但这些指令同时驱动的个数限制在总计 6 个指令以下 ; 使用 PLSY 指令时, 使用了如下特殊寄存器 : 寄存器定义备注 D8140 低字 D8141 高字 D8142 低字 D8143 高字 D8136 低字 D8137 高字 PLSY 或 PLSR 指令中设定的输出至 Y0 口的脉冲总数 PLSY 或 PLSR 指令中设定的输出至 Y1 口的脉冲总数已向 Y0 及 Y1 输出的脉冲个数的累计值 可用指令 : DMOV K0 D81xx 进行清除操作

107 H2U 编程指令手册 P 步 FNC 58 PWM 脉宽调制 指令格式 : PWM 由于继电器不适合高频率动作, 只有晶体管输出型 PLC 才能使用该指令 指令功能是以 指定的脉冲宽度, 指定的脉冲周期, 由指定的端口持续输出脉冲 其中 : 为设定的输出脉冲宽度, 必须有, 设定范围为 0~32,767ms; 为设定的脉冲输出周期, 必须有, 设定范围为 1~32,767ms; 为脉冲输出端口,MT 型主模块只能选择 Y0 Y1 端口,MTQ 型主模块则可选 Y0 Y1 Y2 Y3 Y4 等端口, 不要与 PLSY,PLSR 指令的输出端口重复 本指令是以中断方式执行, 当指令能流为 OFF 时, 输出停止 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 例如指令 : 7 步 17 步 FNC 59 PLSR 带加减速脉冲输出 指令格式 : PLSR 由于继电器不适合高频率动作, 只有晶体管输出型 PLC 才能使用该指令 指令功能是在指定 的高速输出端口, 以加减方式逐级改变输出频率, 输出指定的脉冲数 其中 : 为设定的输出脉冲的最高频率, 取 10 的整数倍数值, 设定范围为 10~100,000Hz;

108 H2U 编程指令手册 P 108 为设定的输出脉冲数,16bit 指令, 设定范围为 110~32,767;32bit 指令, 设定范围为 110~2,147,483,647; 设定的脉冲数小于 110 时, 不能正常输出脉冲 ; 为设定的加减速时间, 减速时间与加速时间相同,ms 单位, 设定时请注意 : 1. 加减速时间应为控制程序最大扫描时间 (D8012) 的 10 倍以上, 否则加减速时间不确定 ; 2. 加减速的最小值计算公式为 : S / ; 3. 加减速的最大值计算公式为 : S3 ( * 818)/ ; 4. 加减速过程的速度按 10 级逐级变化, 若难以满足上述 1/2/3 的条件, 请降低的设置 为脉冲输出端口,MT 型主模块只能选择 Y0 Y1 端口,MTQ 型主模块则可选 Y0 Y1 Y2 Y3 Y4 等端口, 不要与 PLSY 指令的输出端口重复 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 使用说明 : 本指令是以中断方式执行, 不受扫描周期的影响 ; 当指令能流为 OFF 时, 输出停止 ; 当能流由 OFF ON 时, 脉冲输出处理重新开始 ; 在脉冲输出过程中, 改变, 对本次输出没有影响, 修改的内容在指令下次执行的时候生效 指令执行完毕,M8029 标志置为 ON; 同时使用 2 个 PLSY 或 2 个 PLSR 指令, 可以在 Y0 Y1 端口得到各自独立的 2 路高速脉冲输出 ; 同时使用 1 个 PLSY 和 1 个 PLSR 指令, 可以在 Y0 Y1 端口得到各自独立的 2 路高速脉冲输出 ; 组合使用 HSZ 和 PLSR 指令 ( 频率控制模式 ) 时, 仅能在 Y0 或 Y1 中选择 1 点, 此时也不能通过 PLSY 获得另外 1 路脉冲输出 ; 与 PWM 指令的输出端口号不能重复 ; 再次启动 PLSR 指令时, 需在上次脉冲输出操作结束 (Y0 结束时 M8147=0;Y1 结束时

109 H2U 编程指令手册 P 109 M8148=0) 后, 再延迟 1 个扫描周期, 方可再启动该指令 ; 例如指令 : 自 Y0 或 Y1 输出脉冲过程中, 占用如下特殊寄存器 : 寄存器定义备注 D8140 低字 D8141 高字 D8142 低字 D8143 高字 D8136 低字 D8137 高字 PLSY 或 PLSR 指令中设定的输出至 Y0 口的脉冲总数 PLSY 或 PLSR 指令中设定的输出至 Y1 口的脉冲总数已向 Y0 及 Y1 输出的脉冲个数的累计值 可用指令 : DMOV K0 D81xx 进行清除操作 7 步 17 步 17 步 FNC 61 SER 数据查找 指令格式 : SER 该指令用于从一组数据中, 查找相同数据的单元 同时对最大值 最小值的检索 其中 : 为数据组的的起始地址 ; 为待检索的数据 ; 为检索结果存放区的起始地址 ; 为被检索数据区的长度

110 H2U 编程指令手册 P 110 当使用 32bit 指令时, 均指向 32bit 变量, 也按 32bit 变量宽度进行计算 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 取值范围 :16bit 指令 :n=1~256;32bit 指令 :n=1~128 使用举例 : 使用说明 : 当指令能流 X20 为 ON 时, 方才进行比较 ; 比较的方法为有符号数的代数比较方法进行, 例如 -8 < 2; 当最小值 最大值有多个时, 分别显示序号最大的元件 ; 存储检索结果的单元占用开始的 5 个连续单元 若不存在相等数据时, 上例中的 D80~D82 均为 0 9 步 17 步 FNC 62 ABSD 凸轮控制绝对方式 指令格式 : ABSD 该指令完成的操作是多区段比较, 用于实现凸轮控制, 比较用的表格 计数器等均按绝对方 式设置 该指令是主程序中扫描执行, 比较结果受扫描时间的滞后影响 其中 :

111 H2U 编程指令手册 P 111 为比较表格的起始元件地址 ; 为计数器元件序号, 使用 32bit 指令时, 可为 32bit 计数器 ; 为比较结果存放区的起始地址, 占用个连续地址的 bit 变量单元 ; 为多段比较数据的组数 当使用 32bit 指令时, 均指向 32bit 变量, 也按 32bit 变量宽度进行计算 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=1~64; 为 KnX KnY KnM KnS 时, 若为 16bit 指令, 必须指定 K4; 若为 32bit 指令, 必须指定 K8 且 X Y M S 的元件编号必须是 8 的倍数 ; 在 16bit 指令时只能指定 C0~C199;32bit 指令时则只能指定 C200~C254; 使用举例 : 若已给相关变量按如下赋值, 当 X10=ON 时, 执行结果如下图 : 使用说明 : ABSD 指令执行前, 应给相关表格的各变量用 MOV 指令赋值 ;

112 H2U 编程指令手册 P 112 即使 DABSD 指令中采用了高速指令, 比较输出也受用户程序扫描时间的滞后影响, 对 于需要及时响应的应用, 可采用 HSZ 高速比较指令 ; 程序中只能使用 ABSD 指令一次 9 步 FNC 63 INCD 凸轮控制增量方式 指令格式 : INCD 该指令完成的操作是多区段比较, 用于实现凸轮控制, 比较用的表格 计数器等均按增量方 式设置 该指令是主程序中扫描执行, 比较结果受扫描时间的滞后影响 其中 : 为比较表格的起始元件地址 ; 为计数器元件序号, 其相邻的 +1 单元则被用于计算比较匹配后计数器复位的次 数 使用 32bit 指令时, 可为 32bit 计数器 ; 为比较结果存放区的起始地址, 占用个连续地址的 bit 变量单元 ; 为多段比较数据的组数 当使用 32bit 指令时, 均指向 32bit 变量, 也按 32bit 变量宽度进行计算 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,n=1~64; 为 KnX KnY KnM KnS 时, 若为 16bit 指令, 必须指定 K4; 若为 32bit 指令, 必须指定 K8 且 X Y M S 的元件编号必须是 8 的倍数 ; 在 16bit 指令时只能指定 C0~C199;32bit 指令时则只能指定 C200~C254; 使用举例 :

113 H2U 编程指令手册 P 113 X10 S1 D S2 C20 C D100=40 M 0 M0 D101=50 M 1 M1 n D102=20 M 2 M2 D103=60 M 3 M3 D 104= 30 M 4 M4 M8029 使用说明 : INCD 指令执行前, 应给相关表格的各变量用 MOV 指令赋值 ; 比较输出也受用户程序扫描时间的滞后影响, 对于需要及时响应的应用, 可采用 HSZ 高速比较指令 ; 程序中只能使用 INCD 指令一次 5 步 FNC 64 TTMR 演示定时器 指令格式 : TTMR 该指令的功能是将指定输入端口的按键保持时间乘以倍数后存入变量, 一般用于 参数设定 其中 : 为按键保持时间乘以倍数后的乘积, 而 +1 单元则用于保存按键的时间保存时间, 时间单位为秒 ; 为倍数设定, 注意实际倍数为 10 n 计算方式, 例如 : n=k0 时, 实际倍数为 1; n=k1 时, 实际倍数为 10; n=k2 时, 实际倍数为 100, 依此类推 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z

114 H2U 编程指令手册 P 114 使用举例 : 5 步 FNC 65 STMR 特殊定时器 指令格式 : STMR 该指令的功能是根据指令能流, 产生 4 种延时动作的专用指令 其中 : 用于产生延迟动作的计时器序号, 可用 T0~T199; 为延时设定值, 单位为 100ms, 设定值范围为 K1~K32767; 为延时动作输出元件的起始编号, 共占用 4 个连续单元 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,m=1~32767 使用举例 : X10 S m D STMR T10 K100 Y0 X10 Y0 10s 10s Y1 10s 10s Y2 Y3 10s 10s 10s 10s 若在指令能流中引入的元件, 可方便地实现振荡器输出 :

115 H2U 编程指令手册 P 115 使用说明 : 在本指令中使用的计时器不得再用于其他指令中, 重复使用 3 步 3 步 FNC 66 ALT ON/OFF 交替 指令格式 : ALT 该指令的功能是能流有效时, 将元件的状态反转 其中为位变量元件 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 一般使用 ALTP 指令, 使用举例 : 如下指令产生的动作与之相同 : 9 步 FNC 67 RAMP 斜坡指令 指令格式 : RAMP 该指令的功能是在给定的两个数据中间, 在指定的时间区间, 进行线性插值, 按扫描执行的 时间依次输出过程值, 直到区间末端的终点值为止 其中 : 斜坡信号的起始值单元 ; 斜坡信号的终点值单元 ; 为线性插值信号的过程值存放单元, 而插值次数的计时器存放在 +1 单元 ; 完成插补过程的程序扫描执行次数 由于插值输出是在正常主循环中进行的, 为了保 证插值输出的线性, 需要将程序执行设置为固定扫描方式 ( 见 M8039 D8039 说明 )

116 H2U 编程指令手册 P 116 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 常数,1~32767 插值计算按整型数计算, 丢弃了计算小数 指令功能如下图 : S2 S1 S1 D D +1 D +1 D S2 n n RAMP 指令执行有 2 种模式, 由 M8026 标志进行选择 ; 每次插值运算完毕,M8029 置位一个 扫描周期 执行特点如下例 : 9 步 FNC 68 ROTC 旋转台控制指令 指令格式 : ROTC 该指令是用于旋转工作台上工件取放控制的简捷指令, 旋转工作台的位置检测信号需按指定 方式配置才能正常工作 其中 : 计数变量的起始单元 ; 旋转工作台上的工位数, 必须 ; 旋转工作台上的低速工位数, 必须 ;

117 H2U 编程指令手册 P 117 为旋转台位置检测信号存放的起始单元, 占用随后的 8 个位变量单元 位元件字元件 X Y M S K H KnX KnY KnM KnS T C D V Z 2~32767,m1 m2 0~32767, m1 m2 信号配置方式如下图, 图中 X0 X1 分别接 AB 正交编码器的 A 相和 B 相输出信号, 也可采用机械开关得到正交相位的信号 ;X2 接用于 0 号工位的检测输入 ( 当旋转到 0 号工位时为 ON 状态 ), 由此 3 个信号即可检测旋转工作台的当前转动速度和转向和工位 应用举例 : 该代码实际使用的变量空间说明如下 : 变量功能定义操作说明 D200 D201 D202 M0 M1 M2 作为计数寄存器使用调用窗口号码设定调用工件号码设定 A 相信号 B 相信号 0 点检测信号 由用户程序事先设定好该 3 个单元 用户程序每次扫描本语句前执行 : M3 M4 高速正转 低速正转

Microsoft Word - 2N-B-92£¨V1.0£©°åʽPLCʹÓÃÊÖ²á.doc

Microsoft Word - 2N-B-92£¨V1.0£©°åʽPLCʹÓÃÊÖ²á.doc 56点 92点使用说明书 1 一, 接线安装图 二, 技术指标 工作电源 :24VDC/800mA 或 24VAC/800mA 开关量输入 :46 路开关量输出 :46 路模拟量输出 :2 路 010V, 分辨率 10V/1000 继电器输出容量 :2A/250VAC 晶体管输出容量 :0.5A/30VDC 接线端子形式 : 可拆卸, 上下两层通信接口 :3 个, 编程口 RS422 S485 通信协议

More information

FX PLC 8, : PLC FX PLC PLC PLC FXGP SFC PLC FX2 PLC PLC PLC PLC,,,, PLC,, : ,,,, ;,, ( CIP) PLC ( FX )/. :,

FX PLC 8, : PLC FX PLC PLC PLC FXGP SFC PLC FX2 PLC PLC PLC PLC,,,, PLC,, : ,,,, ;,, ( CIP) PLC ( FX )/. :, 21 PLC ( FX ) FX PLC 8, : PLC FX PLC PLC PLC FXGP SFC PLC FX2 PLC PLC PLC PLC,,,, PLC,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) PLC ( FX )/. :, 2005.6 (21. ) ISBN 7-302-10896-X. P.. - : -.

More information

About US 1984

About US 1984 小型可程式控制器 經濟實用品質穩定功能強大 www.seec.com.tw About US 1984 Index AX1s 01 AX1N AX1N AX2N AX2N - - 03 05 07 09 11 13 AX AX AX PLC 15 16 17 19 21 25 27 1S 1S AX1S 232-BD RS-232C 2AD-BD 485-BD RS-485 1DA -BD 422-BD

More information

Microsoft Word - 1 第一章 构成

Microsoft Word - 1 第一章 构成 1. PLC 构成 第一章 PLC 构成... 2 1 产品概要和对应的编程语言... 2 2 构成可编程控制器的各种软元件... 4 3 程序内存和参数的构造... 7 4 注意事项 ( 输入输出处理, 响应滞后, 双线圈 )... 10 1 1. PLC 构成 第一章 PLC 构成 1 产品概要和对应的编程语言 产品概要 BSP01 AR/T Type : 30 点 内藏 Flash memory

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

LETD型LED灯炮规格的更改

LETD型LED灯炮规格的更改 2018 十二月 1/10 页 软件错误问题软件错误 1 Automation Organizer(WindLDR) 软件之修复 针对版本 : WindLDR 版本 8.2.0 至版本 8.9.1 (Automation Organizer 版本 3.20 至 版本 3.12.1) 注 : 包括由官网下载的版本 当使用以上版本软件, 软件错误 1 将会出现在以下型号 : FC6A CPU 模块 -

More information

Microsoft Word - 目?_Timer&new_ADA.DOC

Microsoft Word - 目?_Timer&new_ADA.DOC FBS-PLC 使用手册 硬件篇 目 录 第 1 章 : FATEK FBS 系列 PLC 简介 1.1 主机外型部位名称... H1-1 1.2 扩展 / 模块的外型部位名称... H1-2 1.3 通讯扩展模块的外型部位名称... H1-4 1.4 FBS-PLC 机型一览表... H1-5 1.5 主机功能规格... H1-7 1.6 环境规格... H1-8 1.7 各机型接线端子配置图...

More information

Microsoft Word - em78 sub program.doc

Microsoft Word - em78 sub program.doc 一 二进制数转换为 ASCⅡ 码 将一个字节的二进制数转换为两位 16 进制数的 ASCⅡ 码 main: mov a,@0x9f ; 二进制数为 0x9f mov 0x30,a ; 二进制数存入 0x30 mov a,@0x02 mov 0x10,a ;0x10 中存放转换次数 mov a,@0x31 mov 0x04,a ;0x04 中为转换后数据存放地址 mov a,0x30 B1: ; 取

More information

PLC Simulative Control of an Elevator by PLC POWER SUPPLY ii iii ABSTRACT In the modern time, elevator is very popular and based. Most techniques of elevator are owned by foreigners. A simple introduction

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

<4D F736F F D20B0B0C9BDB6ABC9ADD1A1D0CD2E646F63>

<4D F736F F D20B0B0C9BDB6ABC9ADD1A1D0CD2E646F63> 东森 DSX2N 可编程序控制器 产品样本 2009.05 产品选型手册 (V1.1) www.dnsch.com.cn DNSCH 控制器系列概述.2 DSX-2N CPU 外形尺寸.2 DSX-2N CPU 硬件介绍.3 DSX-2N CPU 编程设备.3 DSX-2N CPU 安装方式. 4 DSX-2N CPU 主要性能.5 DSX-2N CPU 单元接线图.6 DSX-2N 与 HMI 通信及组成

More information

EC20系列PLC与WEINVIEW通讯手册

EC20系列PLC与WEINVIEW通讯手册 系列 PLC 与 PROFACE 通讯手册 感谢您购买的可编程控制器 (PLC), 在使用我公司 系列 PLC 产品之前, 请仔细阅读 的相关资料 本手册主要介绍 系列 PLC 与 PROFACE 人机界面 (HMI) 的通讯设置以及如何通过 PROFACE 人机界面访问 PLC 内部软元件资源 (X Y M SM S T C D SD Z) PROFACE 人机界面通过 EMERSON Network

More information

EX2N文本PLC一体机使用手册

EX2N文本PLC一体机使用手册 MHW MHW6043/6070/6010 系列 触摸屏 PLC 一体机 用户手册 V5.3 苏州美禾威电子科技有限公司 2017 年 7 月第五版 ( 使用产品前一定要阅读本手册 ) www.mhwplc.com MHW6043/MHW6070/MHW6010 系列触摸屏 PLC 一体机用户手册 目录 第一部分 MHW6043/MHW6070/MHW6010 系列触摸屏一体机概述... 3 1.1

More information

Introduction to Computer Systems /18-243, spring st Lecture, Jan. 12th

Introduction to Computer Systems /18-243, spring st Lecture, Jan. 12th 计算机组成原理习题课 1 授课老师 : 王浩宇 haoyuwang@bupt.edu.cn 1 练习 : 机器数的表示和相互转化 练习 1: 当十六进制数 9B 和 FF 分别表示为原码 补码 反码 移码和无符号数时, 所对应的十进制数各为多少 ( 设机器数采用一位符号位 )? 16 进制 真值 无符号数 原码 ( 真值 ) 反码 ( 真值 ) 补码 ( 真值 ) 移码 ( 真值 ) 9BH 二进制十进制

More information

STM32的PLC程序与设置激活说明

STM32的PLC程序与设置激活说明 MX2N 系列 PLC 编程手册 Coolmay MX2N 系列编程手册 版权所有 : 深圳市顾美科技有限公司 V8.51 版 目录 MX2N 系列 PLC 编程手册 一 概述...3 1.1COOLMAY MX2N PLC 主要优势...3 1.2 型号规格...4 第二部分元件范围...5 第三部分支持的指令...6 3.1 基本逻辑指令一览表...6 3.2 步进顺控指令说明...6 3.3

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

勤 學 * 卓 越 * 快 樂 成 長 本 校 在 老 師 群 策 群 力 共 同 討 論 下, 型 塑 了 學 校 願 景 : 勤 學 卓 越 快 樂 成 長 ( 一 ) 勤 學 運 用 真 的 力 量 培 養 勤 學, 以 語 文 教 為 基 礎 紮 根 ( 二 ) 卓 越 利 用 美 的 感

勤 學 * 卓 越 * 快 樂 成 長 本 校 在 老 師 群 策 群 力 共 同 討 論 下, 型 塑 了 學 校 願 景 : 勤 學 卓 越 快 樂 成 長 ( 一 ) 勤 學 運 用 真 的 力 量 培 養 勤 學, 以 語 文 教 為 基 礎 紮 根 ( 二 ) 卓 越 利 用 美 的 感 桃 園 市 復 旦 國 民 小 學 104 學 年 度 學 校 課 程 計 畫 壹 依 據 貳 目 的 一 教 基 本 法 第 13 條, 國 民 教 法 第 4 條 二 教 部 92 公 佈 之 國 民 中 小 學 九 年 一 貫 課 程 綱 要 三 桃 園 市 政 府 推 動 國 民 中 小 學 九 年 一 貫 課 程 實 施 計 畫 四 桃 園 市 政 府 97.5.29 府 教 數 字 第

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

目 录 1. 产 品 简 介...1 1.1. 型 号 说 明 及 外 围 装 置...1 1.2. 产 品 外 观 及 各 部 介 绍...3 2. 功 能 规 格 一 览 表...8 3. 特 殊 组 件...10 3.1. 特 殊 辅 助 继 电 器...10 3.2. 特 殊 资 料 缓 存

目 录 1. 产 品 简 介...1 1.1. 型 号 说 明 及 外 围 装 置...1 1.2. 产 品 外 观 及 各 部 介 绍...3 2. 功 能 规 格 一 览 表...8 3. 特 殊 组 件...10 3.1. 特 殊 辅 助 继 电 器...10 3.2. 特 殊 资 料 缓 存 台 达 DVP 系 列 可 编 程 控 制 器 使 用 说 明 书 台 达 PLC, 变 频 器, 触 摸 屏 代 理 商 广 州 亿 控 自 动 化 设 备 有 限 公 司 提 供 2003 年 11 月 联 系 电 话 :020-38460215 38460521 38460561 FAX: 020-38460527 网 址 : www.gzeasycom.com. Email: gzeasycom@21cn.com

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

单元型号

单元型号 AP-6 系 列 可 编 程 控 制 器 编 程 手 册 [ 厦 门 宇 电 自 动 化 科 技 有 限 公 司 ] 2012 年 8 月 3 日 Version 1.1 基 本 说 明 感 谢 您 购 买 了 宇 电 AP-6 系 列 可 编 程 序 控 制 器 本 手 册 主 要 介 绍 宇 电 AP-6 系 列 可 编 程 序 控 制 器 的 硬 件 特 性 等 内 容 在 使 用 产 品 之

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

untitled

untitled 2 PLC(Programmable Logic Controller) 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 1-1 FX2N CHAPTER 01 3 1. FX2N M I/O I/O I/O M MR AC/DC MS SSR AC MT DC 2. FX2N E E 3. I/O FX N E / DC24V FX N I/O I/O 8ER 4 4 EX

More information

3. 构造和动作原理 3.1 概要 主要元件有 开关机构 自动脱扣装置 带手动脱扣 按钮 触点 消弧装置 接线端子及塑壳 消弧装置 三菱的MCCB以栅极空隙 形状与 材料的最佳组合获得超群的消弧性 能 塑壳 上盖 磁束 塑壳 底座 栅极 电弧 磁力 消弧 触点 脱扣按钮 按下脱扣 可进行外部机械式脱扣 用于确认 附件开关和手动复位功能的动作 开关机构 触点快速开关 开关速度与操纵柄 的移动速度无关

More information

上海盛瑞电子有限公司

上海盛瑞电子有限公司 2015/5/22 1 / 9 一运动指令 MoveJ MoveJ[\Conc,]ToPoint,Speed[\V] [\T],Zone[\Z][\Inpos],Tool[\WObj]; 1 [\Conc,]: 协作运动开关 (switch) 2 ToPoint: 目标点, 默认为 * (robotarget) 3 Speed: 运行速度数据 (speeddata) 4 [\V]: 特殊运行速度 mm/s

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

DVP系列EH機種PLC使用手冊【程式篇】目錄CONTENTS

DVP系列EH機種PLC使用手冊【程式篇】目錄CONTENTS 绵 密 网 络 专 业 服 务 中 达 电 通 已 建 立 了 41 个 分 支 机 构 及 服 务 网 点, 并 塑 建 训 练 有 素 的 专 业 团 队, 提 供 客 户 最 满 意 的 服 务, 公 司 技 术 人 员 能 在 2 小 时 内 回 应 您 的 问 题, 并 在 48 小 时 内 提 供 所 需 服 务 上 海 电 话 :(021)6301-2827 传 真 :(021)6301-2307

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

階梯邏輯與程式設計

階梯邏輯與程式設計 階 梯 邏 輯 與 程 式 設 計 目 LD LDI LDI OUT 指 令 的 用 法 邏 輯 積 運 算 指 令 AND 和 ANI 的 用 法 邏 輯 和 OR/ORI 指 令 用 法 兩 個 回 路 並 接 指 令 ORB 的 用 法 兩 個 回 路 串 接 指 令 ANB 的 用 法 分 歧 點 回 路 MPS MRD MRD MPP 指 令 的 用 法 錄 主 控 點 回 路 MC MCR

More information

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 M8Rxxx 指令集说明 Version 1.04 2014 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 修正记录 版本 日期 描述 Ver1.02 2014-5-15 补全指令说明 Ver1.03 2014-10-8 勘误 Ver1.04

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

<4D6963726F736F667420576F7264202D203135343030AB4FA5C0A448ADFBA4FEAFC5C0B3C0CBB8EAAEC6B2C4A447B3A1A5F73938303230362E646F63>

<4D6963726F736F667420576F7264202D203135343030AB4FA5C0A448ADFBA4FEAFC5C0B3C0CBB8EAAEC6B2C4A447B3A1A5F73938303230362E646F63> 保 母 人 員 單 一 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 參 考 資 料 試 題 編 號 :15400-960401~8 審 定 日 期 :96 年 11 月 30 日 修 訂 日 期 :97 年 1 月 31 日 98 年 0 月 06 日 保 母 人 員 單 一 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 參 考 資 料 第 二 部 份 壹 保 母 人 員 技

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

Microsoft Word - PLC精品课程.doc

Microsoft Word - PLC精品课程.doc 初学者必读教程 PLC 技术网 (www.plcjs.com)- 可编程控制器技术门户 - 1 目录 :1. 可编程控制器 (PLC) 概述 ---------------------------------------------------------------------------------- :2. 可编程控制器基本组成 -------------------------------------------------------------------------------------

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

<4D6963726F736F667420576F7264202D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63>

<4D6963726F736F667420576F7264202D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63> 国 家 职 业 标 准 1 可 编 程 序 控 制 系 统 设 计 师 国 家 职 业 标 准 1. 职 业 概 况 1.1 职 业 名 称 可 编 程 序 控 制 系 统 设 计 师 1.2 职 业 定 义 从 事 可 编 程 序 控 制 器 (PLC) 选 型 编 程, 并 对 应 用 系 统 进 行 设 计 集 成 和 运 行 管 理 的 人 员 1.3 职 业 等 级 本 职 业 共 设 四

More information

C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1 1 TEMPLATE 1 Template 描述 使用模板函数求最大值 使用如下 main 函数对程序进行测试 int main() { double a, b; cin >> a >> b; cout c >> d; cout

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

《C语言基础入门》课程教学大纲

《C语言基础入门》课程教学大纲 C 语 言 开 发 入 门 教 程 课 程 教 学 大 纲 课 程 编 号 :201409210011 学 分 :5 学 分 学 时 :58 学 时 ( 其 中 : 讲 课 学 时 :39 学 时 上 机 学 时 :19 学 时 ) 先 修 课 程 : 计 算 机 导 论 后 续 课 程 :C++ 程 序 设 计 适 用 专 业 : 信 息 及 其 计 算 机 相 关 专 业 开 课 部 门 : 计

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

MSP430ϵÁе¥Æ¬»úµÄÖ¸Áîϵͳ.pps [¼æÈÝģʽ]

MSP430ϵÁе¥Æ¬»úµÄÖ¸Áîϵͳ.pps [¼æÈÝģʽ] 作者 : 利尔达 MSP430 系列单片机的指令系统 1 CPU 内核组成 : 16 位的 (ALU) 算术运算单元 16 个寄存器 (PC SP SR R4~R15) 指令控制单元 2 存储器组织结构 3 外围模块寄存器地址 它们被分配在相应的字模块或字节模块当中 分配在 00-FFH 中为字节, 分配在 100-1FFH 中为字 4 寻址模式 : 5 指令格式 : 1) 书写格式标号指令助记符源操作数,

More information

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业 1. 职 业 概 况 1.1 职 业 名 称 可 编 程 师 1.2 职 业 定 义 可 编 程 师 国 家 职 业 标 准 从 事 可 编 程 序 控 制 器 (PLC) 选 型 编 程, 并 对 应 用 进 行 集 成 和 运 行 管 理 的 人 员 1.3 职 业 等 级 本 职 业 共 设 四 个 等 级, 分 别 为 : 四 级 可 编 程 师 ( 国 家 职 业 资 格 四 级 ) 三

More information

第三章 作业

第三章  作业 - 在 题 图 - 中, 若 电 压 源 U V, 电 阻, 试 在 图 示 参 考 方 向 下 求 支 路 电 流 I Us I 题 图 - 以 电 压 源 为 参 考 方 向,I=-A - 求 图 - 各 支 路 中 未 知 量 的 值 4V V =? A U=? V A U=? A V a b c a =(-4)/=Ω b U=+ =4V c U=4V 题 图 - - 在 题 图 -a b 所

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

国债回购交易业务指引

国债回购交易业务指引 附 件 1 上 海 证 券 交 易 所 新 质 押 式 国 债 回 购 交 易 业 务 指 引 一 总 述 根 据 上 海 证 券 交 易 所 债 券 交 易 实 施 细 则, 上 证 所 将 于 2006 年 5 月 8 日 起 推 出 新 质 押 式 国 债 回 购 新 质 押 式 回 购 与 现 行 质 押 式 回 购 相 比 区 别 主 要 在 以 下 几 个 方 面 :1 新 质 押 式

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

Visio-Default configuration and primary diagram_cn.vsd

Visio-Default configuration and primary diagram_cn.vsd 7VU68xxxxx0 Ux_L 760 > 闭锁快切 786 > 手动复归快切 I T_L VT_L J Ix_L N/ 767 > 进线 事故起快切 类 7667 > 进线 事故起快切 类 0 进线 事故起动信号 类进线 事故起动信号 类 Q N/ N/ N/ N/ 7948 快切成功 7949 快切失败 O O R 80 快切充电完成 O VT_ Ua_ Ub_ Uc_ O4 O5 opyright

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

Microsoft Word - CONTENTS-sc.doc

Microsoft Word - CONTENTS-sc.doc DVP PLC 应用技术手册 程序篇 目录 第 1 章 :PLC 梯形图基本原理 前言 PLC 的发展背景及其功能概述... 1-1 1. 1 梯形图工作原理... 1-1 1. 2 传统梯形图与 PLC 梯形图之差异... 1-2 1. 3 梯形图编辑说明... 1-3 1. 4 PLC 梯形图之编辑要点... 1-7 1. 5 PLC 指令与各项图形结构的整合转换... 1-11 1. 6 梯形图之化简...

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

FET848

FET848 YT8450-86A USB 手 柄 特 点 高 性 能 CMOS 技 术 ; 工 作 电 压 :3.5V ~ 6.0V; 工 作 电 流 :10mA; 工 作 频 率 :6MHz; 3 个 LED 分 别 表 示 Analog/Digital 模 式 Turbo 模 式 和 Slow 模 式 ; 支 持 8 个 按 键 的 Turbo 模 式 ; 两 种 Analog/Digital 模 式 的

More information

NordriDesign原创免费模板

NordriDesign原创免费模板 OCS 基础培训 软件篇 2014 年 3 月 25 日 主要内容 : 一 内部寄存器结构介绍二 软件菜单介绍及基本连接操作三 梯形图常用编程指令介绍 ( 程序 ) 四 画面常用编辑指令介绍 ( 程序 ) 五 软件使用常见问题指南 ( 文档 ) 六 附录 : 功能块使用说明七 Cscape 新版本特性介绍 一 内部寄存器结构介绍 HEX 内部寄存器结构 BINARY 16384 8192 4096

More information

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 1. 介绍 Application Notes EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 * 周期, 其余为单指令周期 ( 部分第一代芯片 JMP CALL RET RETL RETI JBS JBC JZ JZA DJZ DJZA 指令为 2

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

幻灯片 1

幻灯片 1 第一类换元法 ( 凑微分法 ) 学习指导 复习 : 凑微分 部分常用的凑微分 : () n d d( (4) d d( ); (5) d d(ln ); n n (6) e d d( e ); () d d( b); ); () d d( ); (7) sin d d (cos ) 常见凑微分公式 ); ( ) ( ) ( b d b f d b f ); ( ) ( ) ( n n n n d f

More information

Microsoft PowerPoint - 01_Introduction.ppt

Microsoft PowerPoint - 01_Introduction.ppt Hello, World C 程序设计语言 第 1 章章观其大略 孙志岗 sun@hit.edu.cn http://sunner.cn prf("hello,, world\n"); 超级无敌考考你 : 如何把 hello 和 world 分别打印在两行? 2004-12-19 A Tutorial Introduction 2 hello.c 打印华氏温度与摄氏温度对照表 计算公式 : C=(5/9)(

More information

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) ( . (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E).. (E) (A) (B) (C) (D). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (C) (D) (E) (A) (B) (C) (D) (E)

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

untitled

untitled XP248 1 XP248 XP248 DCS PLC SCnet SCnet DCS SCnet DCS 1.1 XP248 Modbus HostLink Modbus XP248 4 DB25 XP248 MODBUS XP248 SCControl XP248 4 RS232 RS485 4 32 XP248 COM0-COM1 COM2-COM3 1200 19200bit/s 5 8 1

More information

作者 : Jie Xu 日期 : E_mail: KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化,

作者 : Jie Xu 日期 : E_mail:  KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 作者 : Jie Xu 日期 : 2013-07-29 E_mail: jie.xu@beckhoff.com.cn support@beckhoff.com.cn KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 主要将模块的功能性进行了描述, 其余介绍性的内容请参阅官方 KL5121 的内容 一. 功能描述 :

More information

MHP使用手册.doc

MHP使用手册.doc MH1 文本显示器 MHP 18R/T 文本 PLC 一体机 使 用 手 册 无锡市中远工业自动化有限公司 目 录 第一章 MH 概述...1 1.1 功能简介...1 1.2 一般规格...1 1.2.1 电气规格...1 1.3 各部分名称...2 1.4 安装尺寸及方法...4 第二章文本显示说明...5 2.1 MH_Link 简介...5 2.2 工程与画面...5 2.3 MH_Link

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

第2章 数据类型、常量与变量

第2章  数据类型、常量与变量 第 2 章 数 据 类 型 常 量 与 变 量 在 计 算 机 程 序 中 都 是 通 过 值 (value) 来 进 行 运 算 的, 能 够 表 示 并 操 作 值 的 类 型 为 数 据 类 型 在 本 章 里 将 会 介 绍 JavaScript 中 的 常 量 (literal) 变 量 (variable) 和 数 据 类 型 (data type) 2.1 基 本 数 据 类 型 JavaScript

More information

定 位 和 描 述 : 程 序 设 计 / 办 公 软 件 高 级 应 用 级 考 核 内 容 包 括 计 算 机 语 言 与 基 础 程 序 设 计 能 力, 要 求 参 试 者 掌 握 一 门 计 算 机 语 言, 可 选 类 别 有 高 级 语 言 程 序 设 计 类 数 据 库 编 程 类

定 位 和 描 述 : 程 序 设 计 / 办 公 软 件 高 级 应 用 级 考 核 内 容 包 括 计 算 机 语 言 与 基 础 程 序 设 计 能 力, 要 求 参 试 者 掌 握 一 门 计 算 机 语 言, 可 选 类 别 有 高 级 语 言 程 序 设 计 类 数 据 库 编 程 类 全 国 计 算 机 等 级 考 试 调 整 方 案 2011 年 7 月, 教 育 部 考 试 中 心 组 织 召 开 了 第 五 届 全 国 计 算 机 等 级 考 试 (NCRE) 考 委 会 会 议, 会 议 完 成 NCRE 考 委 会 换 届 选 举, 并 确 定 了 下 一 步 改 革 和 发 展 的 目 标 在 新 的 历 史 时 期,NCRE 将 以 保 持 稳 定 为 前 提 以

More information

Cybozu Garoon 3 管理员手册

Cybozu Garoon 3 管理员手册 附 录 D 数 据 的 输 入 样 式 数 据 的 种 类 和 输 入 字 符 的 值 等, 在 Garoon3 的 页 面 输 入 的 数 据 样 式 如 下 所 示 基 本 系 统 客 户 信 息 法 人 姓 名 字 符 串 ( 00 法 人 姓 名 ( 拼 音 ) 字 符 串 ( 00 图 标 (URL) 字 符 串 ( 255 应 用 程 序 新 名 称 字 符 串 ( 00 用 户 姓 名

More information

数据库系统概论

数据库系统概论 2. 减法指令 8086/8088 共有 5 条减法指令 1 不带 CF 的减法指令 (SUB) 格式 :SUB DST, SRC 功能 :(1)DST (DST)-(SRC) (2) 根据差设置 6 个状态标志 2 带 CF 的减法指令 (SBB) 格式 :SBB DST, SRC 功能 : (1)DST (DST)-(SRC)-CF (2) 根据差设置 6 个状态标志 3 减 1 指令 (DEC)

More information

第10章:CCP捕捉/比较/脉宽调制

第10章:CCP捕捉/比较/脉宽调制 第 10 章 :CCP 捕捉 / 比较 / 脉宽调制 CCP 模块功能 捕捉功能模式 比较功能模式 脉宽调制功能 1 CCP 模块功能 PIC 2 捕捉 比较 脉宽调制模块 CCP1 CCP2(Capture/Compare/PWM) 16 CCPR1 CCPR2 模块 功能 功能 TMR1 TMR2 2 CCP 模块功能 CCP 模块 3 模式 : 捕捉 式 比较 式 脉宽调制 式 捕捉功能 捕捉

More information

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I 78 SZ/SH/SM 55 ON OUT/ZOUT OUT OUT AOUT ZOUT SZ3 SZ4 SH SM SZSHSM I000~I177 I000~I477 I000~I077 I000~I077 1 Q000~Q177 Q000~Q477 Q000~Q077 Q000~Q077 M000~M377 M000~M377 M000~M377 M000~M377 1. ON OFF 2.OUT

More information

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9>

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9> SM1650B-8 八路开关量采集模块 说明书 网址 :http://www.sonbest.com 第 1 页共 11 页 概述 SM1650B-8 是开关量信号采集模块, 基于工业用 MODBUS-RTU 协议, 是针对各种工业现场的实际需求设计生产的, 集采集 处理等多种功能于一身的新型工业化模块 为便于工程组网及工业应用, 本模块采用工业广泛使用的 MODBUS-RTU 通讯协议, 支持二次开发,

More information

OOP with Java 通知 Project 4: 4 月 19 日晚 9 点

OOP with Java 通知 Project 4: 4 月 19 日晚 9 点 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 19 日晚 9 点 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double x) { d

More information

(Microsoft Word - NCRE\314\345\317\265\265\367\325\37313\324\27221\272\3051.doc)

(Microsoft Word - NCRE\314\345\317\265\265\367\325\37313\324\27221\272\3051.doc) 川 教 考 院 2013 21 号 全 国 计 算 机 等 级 考 试 调 整 方 案 2011 年 7 月, 教 育 部 考 试 中 心 组 织 召 开 了 第 五 届 全 国 计 算 机 等 级 考 试 NCRE 考 委 会 会 议, 会 议 完 成 NCRE 考 委 会 换 届 选 举, 并 确 定 了 下 一 步 改 革 和 发 展 的 目 标 在 新 的 历 史 时 期,NCRE 将 以

More information

NC MCP MPG

NC MCP MPG HNC-21M ...1 1.1... 1 1.1.1... 1 1.1.2... 2 1.2... 3 1.2.1... 3 1.2.2... 3 1.2.3 NC... 3 1.2.4 MCP... 4 1.2.5 MPG... 4 1.3... 5 1.4... 6 1.4.1... 7 1.4.2... 7...9 2.1... 9 2.2... 9 2.3... 9 2.4... 10 2.5...

More information

《PLC应用技术》课程标准

《PLC应用技术》课程标准 电器与 PLC 控制技术 课程标准 一 课程定位 PLC 应用技术实用于机电一体化 自动化以及电子电器应用与维修专业课程体系中, 本课程是该专业核心主干课程之一 属于专项能力训练层次的课程 本课程是基于职业能力分析, 以电子产品或电子系统为载体, 将 PLC 技术与电力电子应用系统辅助设计有机融合的一门综合应用性 实践性较强的课程 本课程主要培养学生使用 PLC 技术与梯形图 指令进行电子应用系统辅助设计的能力

More information

类 似 地, 又 可 定 义 变 下 限 的 定 积 分 : ( ). 与 ψ 统 称 为 变 限 积 分. f ( ) d f ( t) dt,, 注 在 变 限 积 分 (1) 与 () 中, 不 可 再 把 积 分 变 量 写 成 的 形 式 ( 例 如 ) 以 免 与 积 分 上 下 限 的

类 似 地, 又 可 定 义 变 下 限 的 定 积 分 : ( ). 与 ψ 统 称 为 变 限 积 分. f ( ) d f ( t) dt,, 注 在 变 限 积 分 (1) 与 () 中, 不 可 再 把 积 分 变 量 写 成 的 形 式 ( 例 如 ) 以 免 与 积 分 上 下 限 的 5 ( 一 ) 微 积 分 学 基 本 定 理 当 函 数 的 可 积 性 问 题 告 一 段 落, 并 对 定 积 分 的 性 质 有 了 足 够 的 认 识 之 后, 接 着 要 来 解 决 一 个 以 前 多 次 提 到 过 的 问 题 在 定 积 分 形 式 下 证 明 连 续 函 数 必 定 存 在 原 函 数. 一 变 限 积 分 与 原 函 数 的 存 在 性 设 f 在 [,] 上

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

/ / (FC 3)...

/ / (FC 3)... Modbus/TCP 1.0 1999 3 29 Andy Swales Schneider aswales@modicon.com ... 2 1.... 3 2.... 3 2.1.. 3 2.2..4 2.3..4 2.4... 5 3.... 5 3.1 0... 5 3.2 1... 5 3.3 2... 6 3.4 / /... 7 4.... 7 5.... 8 5.1 0... 9

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information