AN617: Stratix IV GX器件的RapidIO动态 数据速率重配置参考设计

Size: px
Start display at page:

Download "AN617: Stratix IV GX器件的RapidIO动态 数据速率重配置参考设计"

Transcription

1 AN 应用笔记 RapidIO 动态数据速率重配置参考设计演示了如何通过使用 ALTGX_RECONFIG 宏功能以及 Stratix IV GX EP4SGX230KF40C3ES 信号完整性收发器开发板, 来对 RapidIO MegaCore 功能模块数据速率进行重配置 ALTGX_RECONFIG 宏功能支持在运行阶段对数据速率进行修改, 而无需重编译您的设计 本应用笔记包含以下几部分内容 : 功能描述 使用参考设计 ( 第 11 页 ) 验证的测试用例 ( 双板连接 ) ( 第 16 页 ) f 要了解关于 RapidIO MegaCore 功能的详细信息, 请参考 RapidIO MegaCore Function User Guide. 功能描述 参考设计采用 Nios II 嵌入式处理器来控制动态重配置过程 通过对 Nios II 处理器提供软件命令, 来处理和执行数据速率重配置 重配置过程触发了 ALTGX_RECONFIG 宏功能, 以使用所需的数据速率对 RapidIO MegaCore 功能收发器进行重配置 参考设计通过采用通道和时钟管理单元锁相环 (Channel and CMU PLL) 重配置模式来对 RapidIO MegaCore 中的收发器进行重配置 Channel and CMU PLL 重配置模式使用存储器初始化文件 (.mif) 来更改收发器设置, 例如数据速率 参考设计重配置 RapidIO MegaCore 功能的发送 (TX) 和接收 (RX) 通道 101 Innovation Drive San Jose, CA Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX are Reg. U.S. Pat. & Tm. Off. and/or trademarks of Altera Corporation in the U.S. and other countries. All other trademarks and service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera s standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. Subscribe

2 2 功能描述 系统体系机构 图 1 显示了系统体系结构的示意图 图 1. 系统体系结构概观 SOPC Builder System Nios II Processor DMA Read Packet Source (Custom component) JTAG UART System Interconnect Fabric Program and Memory (On-chip Memory) srio_softctrl (User logic) RapidIO MegaCore Function RX Buffer (Custom component) RX Data (On-chip Memory) Reconfiguration Controller Components involved in dynamic data rate reconfiguration process. 下面章节对主系统组件在参考设计中所起的作用作了描述 Nios II 处理器 当发出一个数据速率重配置命令时,Nios II 处理器就会处理并执行动态数据速率重配置过程 DMA 读组件 DMA 读组件将 RapidIO MegaCore 功能模块的 I/O 从读端口上的数据发送到 RX 数据存储器 数据源 ( 定制组件 ) 数据包源定制组件生成了 I/O 突发传送数据包, 发送至 RapidIO MegaCore 功能写端口

3 功能描述 3 JTAG UART JTAG UART 组件提供了实现器件与 Nios II 终端进行通信的接口 Nios II 终端是连接到 RapidIO MegaCore 功能驱动器的用户接口 程序和存储器 ( 片内存储器 ) 程序和存储器组件用于存储 Nios II 处理器的软件程序代码 当下载软件程序代码时, 该组件存储这些代码, 而 Nios II 处理器执行这些代码 RX 缓冲器 ( 定制组件 ) RX 缓冲器定制组件存储从 RapidIO MegaCore 功能的读主端口中读取的数据以及在写主端口中写入的数据 RX 数据 ( 片内存储器 ) RX 数据片内存储器存储从 DMA 读组件中读取的数据 用于动态数据速率重配置的相关组件在下面章节中将作介绍 动态数据速率重配置组件 这一章节对下列动态数据速率重配置组件作了介绍 : srio_softctrl ( 用户逻辑 ) RapidIO MegaCore 功能 重配置控制器

4 4 功能描述 图 2 显示了 srio_softctrl, 重配置控制器和 RapidIO MegaCore 功能组件上的信号 图 2. srio_softctrl, 重配置控制器和 RapidIO MegaCore 功能信号 SOPC Builder System srio_softctrl (User logic) RapidIO MegaCore Function Transceiver rate_reconfig[1:0] reconfig_ena reconfig_done reset_srio_n reconfig_togxb reconfig_fromgxb Reconfiguration Controller reconfig_done Controller (User logic) reconfig_address[5:0] write reconfig_data[15:0] ALTGX_RECONFIG Megafunction srio_softctrl ( 用户逻辑 ) srio_softctrl 用户逻辑将重配置指令发送到重配置控制器, 同时也发送复位信号, 来对 RapidIO MegaCore 功能进行复位 该用户逻辑与 Avalon Memory- Mapped (Avalon- MM) 接口相连接, 来将 Nios II 处理器中的重配置软件命令传递到重配置控制器 1 要在 SOPC Builder 系统中创建一个定制组件,srio_softctrl 用户逻辑提供了可以被组件编辑器识别, 并用于与其他组件进行通讯的 Avalon- MM 接口信号 导线管中的导出信号 (reset_srio_n 除外 ) 连接到重配置控制器 reset_srio_n 信号连接到 RapidIO MegaCore 功能的主复位 f 要了解关于创建一个基于 SOPC Builder 系统的 Verilog HDL 文件的新组件的详细信息, 请参考 Quartus II 手册的 Volume 4: SOPC Builder

5 功能描述 5 RapidIO MegaCore 功能 RapidIO MegaCore 功能通过链路搭档来建立一个 RapidIO 链路 另外,RapidIO MegaCore 功能也将 Avalon-MM 接口上的传输数据转换成相应的 RapidIO 传输数据, 并且在 RapidIO 串行链路上发送这些传输数据 RapidIO MegaCore 功能将 RapidIO 串行链路上的 RapidIO 传输转换成 I/O 突发传输, 并将这些突发传输呈现在相应的 Avalon-MM 从端口或者主端口 在该参考设计中,RapidIO MegaCore 功能包含下列的默认参数设置 : 初始数据速率 : 2.5 GBaud 参考时钟频率 : MHz 模式选择 : 1 Serial 重配置控制器 重配置控制器模块是由 ALTGX_RECONFIG 宏功能和控制器 ( 用户逻辑 ) 组成, 接收来自 srio_softctrl 用户逻辑的指令并对收发器进行重配置 ALTGX_RECONFIG 宏功能 ALTGX_RECONFIG 宏功能对 RapidIO MegaCore 功能中的收发器进行重配置 要想重配置收发器, ALTGX_RECONFIG 宏功能必须有用于发送到 RapidIO MegaCore 功能的正确的配置设置,.mif 文件包含这些配置设置 参考设计使用 Channel and CMU PLL 重配置模式, reconfig_mode_sel 端口值设置为 101 控制器 ( 用户逻辑 ) 控制器包括用户定义逻辑, 来获得指定 RAM 中的.mif 的内容 在重配置过程中, 控制器根据 srio_softctrl 用户逻辑中的 rate_reconfig[1:0] 输入信号来选择.mif 文件 控制器加载在 ALTGX_RECONFIG 宏功能中选择的.mif 文件内容 参考设计提供了四个.mif 文件, 按照 RapidIO Specification, 2.1 的要求, 使用不同的数据速率 5.000, 3.125, 和 GBaud 来指定收发器配置设置 该参考设计使用的.mif 文件位于 reconfig_mif 文件夹中 共有四个 RAMs, 每一个 RAM 存储一个.mif 文件 当在板级上运行设计时, 您可以从 RAM 上访问.mif 文件的内容 f 要了解关于例化多个.mif 文件的详细信息, 请参考 Stratix IV 器件手册的第 2 卷中的 Stratix IV Dynamic Reconfiguration 章节

6 6 功能描述 图 3 显示了控制器的体系结构 图 3. 控制器组件体系结构 Controller RAM A.mif (Data rate = 5.0 GBaud) RAM B.mif (Data rate = GBaud) RAM C Multiplexer ALTGX_RECONFIG Megafunction.mif (Data rate = 2.5 GBaud) RAM D.mif (Data rate = 1.25 GBaud) rate_reconfig[1:0]

7 功能描述 7 动态重配置过程 图 4 显示了 RapidIO MegaCore 功能动态数据速率重配置的工艺流程 图 4. 动态数据速率重配置工艺流程 Nios II.mif.mif ALTGX_RECONFIG ALTGX_RECONFIG RapidIO MegaCore.mif 当在 nios2-terminal 中输入 rate_reconfig < 所需数据速率 > 命令时,Nios II 处理器通过 Avalon- MM 接口发送命令到 srio_softctrl 用户逻辑, 以开始重配置过程 当在 nios2-terminal 中输入软件命令 rate_reconfig< 所需数据速率 > 时, srio_softctrl 用户逻辑会拉起 reconfig_ena 信号,reconfig_ena 信号然后开始重配置过程, 并对 rate_reconfig[1:0] 信号中所需的数据速率进行编码 rate_reconfig[1:0] 信号是一个多路复用选择信号, 用于确定选择哪一个.mif 文件 控制器选择了相应的.mif 文件后, 将.mif 文件内容加载到 ALTGX_RECONFIG 宏功能 ALTGX_RECONFIG 宏功能通过 reconfig_togxb 信号来使用新的收发器设置对 RapidIO MegaCore 中的收发器进行重配置 当控制器加载.mif 文件时, 控制器会拉起发送到 ALTGX_RECONFIG 宏功能的写信号 控制器在每一个写周期中, 只将.mif 文件内容的一个字写入到 ALTGX_RECONFIG 宏功能 这一过程会一直重复, 直到将所有内容写入到 ALTGX_RECONFIG 宏功能

8 8 功能描述 当控制器完成了.mif 文件内容的写入,ALTGX_RECONFIG 宏功能会拉起 reconfig_done 信号, 以表明重配置过程已经成功地完成 内部信号 表 1 列出了第 4 页图 2 所示的动态数据速率重配置组件的内部重配置信号 表 1. 动态数据速率重配置组件的内部重配置信号 信号 rate_reconfig[1:0] 说明 2 位控制信号, 表明 RapidIO MegaCore 功能收发器被重配置成的数据速率 2'b00 = GBaud 2'b01 = GBaud 2'b10 = GBaud 2'b11 = GBaud reconfig_ena 使能重配置过程 当 srio_softctrl 用户逻辑拉起这一信号时, rate_reconfig 信号的值有效 reconfig_done reconfig_address[5:0] write reconfig_data[15:0] reconfig_togxb reconfig_fromgxb reset_srio_n 状态信号, 表明重配置过程已经完成, 重配置控制器返回到空闲状态 提供.mif 文件内容地址给控制器, 以得到.mif 文件内容的指定字 连续的脉冲信号, 使.mif 文件内容的字在每一个脉冲中都被写入到 ALTGX_RECONFIG 宏功能 将重配置.mif 文件内容数据从控制器加载到 ALTGX_RECONFIG 宏功能 ALTGX_RECONFIG 宏功能上的重配置信号总线, 用于重配置 RapidIO MegaCore 功能收发器 RapidIO MegaCore 功能收发器上的重配置信号总线输出, 提供重配置数据给 ALTGX_RECONFIG 宏功能 低电平有效信号, 当被下面其中的一个条件触发时, 会对 RapidIO MegaCore 功能进行复位 : 软件复位 (16 Avalon- MM 系统时钟周期 ) 硬件复位 重配置过程期间复位 ( 保持复位直到重配置过程完成 )

9 功能描述 9 Nios II 嵌入式处理器的软件应用 C 编程文件 srio_main_full.c 包含了 Nios II 嵌入式处理器的软件应用 软件应用文件支持对 Nios II 嵌入式处理器发送软件命令以执行各种任务, 例如执行动态数据速率重配置 当运行参考设计时,srio_main_full.c 文件位于 software_app 文件夹中, 并被下载到 Nios II 嵌入式处理器 表 2 列出了用于该参考设计的软件命令 需要在 nios2-terminal 中输入软件命令, 来指示 Nios II 嵌入式处理器以及其它子系统模块执行特定任务, 表 2. Nios II 嵌入式处理器的软件命令 软件命令 h start stop init link r rate_reconfig< 所需的数据速率 > 说明列出所有的可用指令 数据包源模块开始发送数据包至 RapidIO MegaCore 功能 数据包源模块停止发送数据包至 RapidIO MegaCore 功能 RapidIO 内部寄存器的初始化 要了解关于已配置的指定寄存器的详细信息, 请参考 srio_main_full.c 文件 检查 RapidIO 链路的状态 如果链路接通, 返回的命令 = Link Up ; 如果链路断开, 返回的命令 = Link Down 软件复位 复位 RapidIO MegaCore 功能 开始数据速率重配置过程 重配置后,RapidIO MegaCore 功能将在所需的数据速率下运行 时钟和复位 参考设计中的时钟与复位系统包含一个 MHz 收发器时钟和一个 50- MHz 频率时钟 您可以从 Stratix IV GX 信号完整性开发板上获得两个时钟频率的时钟源 MHz 收发器时钟连接到专用 MHz 收发器时钟 (G38),50-MHz 时钟连接到板级上的专用 50-MHz 核心时钟 (AR22) 通过连接 MHz 收发器时钟与 RapidIO MegaCore 功能中的收发器可以实现高速数据传输 Avalon- MM 系统时钟使用 50- MHz 频率时钟 (clk_50) 来运行, 如图 5 所示 clk_50 时钟连接到 reconfig_clk 以及 clk 信号

10 10 功能描述 图 5 显示了支持 RapidIO MegaCore 功能的动态数据速率重配置的时钟和复位系统 图 5. 动态数据速率重配置的时钟和复位系统 Software Reset (2) clk_rapidio ( MHz) SOPC Builder System Hardware Reset USER_PB0 (AW28) srio_softctrl (User logic) RapidIO MegaCore Function Transceiver clk_50 (50 MHz) Avalon-MM System Clock (1) reset_srio_n(3) Reconfiguration Controller clk Controller ALTGX_RECONFIG Megafunction reconfig_clk 图 5 注释 : (1) Avalon- MM 系统时钟与 SOPC Builder 系统中的组件相连 (2) 软件复位是来自 nios2-terminal 的软件命令 (3) 这是一个低电平有效信号 以下任何一种情况的发生都会触发这一复位信号 : 硬件复位, 软件复位, 或者复位接下来的重配置 参考设计将时钟输入连接到 clk_50 您也可以将这些时钟独立地连接到设计的不同源 重配置过程需要 7,800 时钟周期来完成 当重配置过程开始时,RapidIO MegaCore 功能自动进入复位, 同时 RapidIO 链路断开 复位期间,RapidIO MegaCore 功能中的 FIFO 缓冲器也会被清零 如果任何的数据包在 RapidIO MegaCore 功能中的处理被搁置下来 ( 发送前或者接收后 ), 那么 FIFO 缓冲器的清零操作可能会导致数据丢失 1 重配置前,Altera 建议应当避免发送数据至 RapidIO MegaCore 功能, 并且等待接收来自 RapidIO MegaCore 功能的所有未决的相应数据包, 以防止数据丢失 在 ALTGX_RECONFIG 宏功能重新拉高 reconfig_done 信号后, 重配置过程完成 接下来,RapidIO MegaCore 功能中的复位状态机便开始链路恢复过程 复位状态机确保了链路的锁相环 (PLL) 及频率在链路建立前被锁定 您可以在第 19 页图 10 到第 21 页图 12 中的波形图中观测这些重配置与复位过程

11 使用参考设计 11 另外, srio_reset_n 信号会在下列任何情况发生后, 对 RapidIO MegaCore 功能的复位进行控制 使用外部 reset_n 管脚的硬件复位 软件复位命令 1 这两个复位操作对 RapidIO MegaCore 功能进行复位, 但没有对嵌入在 RapidIO MegaCore 功能中的收发器进行重配置 使用参考设计 下面章节介绍了如何设置以及使用参考设计 : 硬件要求 软件要求 安装参考设计 硬件要求 该参考设计通过采用 Stratix IV GX EP4SGX230KF40C3ES 信号完整性收发器开发板, 来对动态数据速率重配置过程进行演示 可以通过下面其中的一个方法来验证重配置过程 : 单板连接 双板连接 单板连接 在单板连接的方法中,TX 与 RX 端口通过外部回环相连接, 并且共享同一时钟源和收发器通道 当 ALTGX_RECONFIG 宏功能使用需要的数据速率动态地重配置收发器时, 会改变 TX 与 RX 端口的通道和 PLL 设置 与此同时,TX 与 RX 端口上的数据速率也会改变 对收发器中需要的数据速率进行配置后,RapidIO MegaCore 功能将 RapidIO 链路重新建立在新的运行数据速率下 图 6 显示了单板连接 图 6. 单板连接 TX port (td rapidio) External Loopback (High-speed Serial Interface through BMA cable) Stratix IV GX Signal Integrity Transceiver Development Board RX port (rd rapidio)

12 12 使用参考设计 双板连接 在双板连接的方法中, 采用两个 Stratix IV GX EP4SGX230 信号完整性收发器开发板来演示参考设计 第一个 ( 板级 A) 是测试板级, 第二个 ( 板级 B) 是控制板级 图 7 显示了板级 A 和 B 上的 TX 与 RX 端口的连接情况 您可以在板级 A 与板级 B 上对同一设计进行编程 两个板级上的默认数据速率为 2.5 GBaud 由于板级 A 和板级 B 上的 RapidIO MegaCore 功能的初始数据速率是相同的, 因此链路可以被建立 图 7 显示了双板连接 图 7. 双板连接 Board A (Device Under Test) Board B (Control) RX port (rd_rapidio) TX port (td_rapidio) Stratix IV GX High-speed Serial Interface through SMA Cable Stratix IV GX TX port (td_rapidio) RX port (rd_rapidio) 您可以通过 JTAG 电缆对板级 B 上的参考设计进行编程和下载 编程和下载完成后, 拔掉 JTAG 电缆的电源, 并保持板级 B 作为控制板级 然后, 编程和下载板级 A 上的参考设计 这两个板级使用 SMA 连接器作为高速 RapidIO 链路 SMA 连接器是 : TX port: GXB1 - TX4 td_rapidio(p) - AD36 td_rapidio(n) - AD37 RX port: GXB1 - RX4 rd_rapidio(p) - AE38 rd_rapidio(n) - AE39 软件要求 参考设计需要下列软件 : Quartus II 9.1 RapidIO MegaCore 9.1 Nios II Embedded Design Suite 9.1 安装参考设计 这一章节对如何安装参考设计进行了介绍

13 使用参考设计 13 altera_srio_reconfig_ref_design.zip 文件包含该参考设计需要的所有文件 在指定的工程目录下解压缩 altera_srio_reconfig_ref_design.zip 文件 解压缩后, 工程目录包含的子目录如图 8 所示 图 8. 目录结构 altera_srio_reconfig_ref_design Installation directory.sopc_builder db dma incremental_db pkt_checker pkt_source rapidio_library reconfig_mif reset rx_buffer software_app software_bsp srio_stats 运行参考设计 请按照下列步骤来运行参考设计 : 1. 将 JTAG 电缆连接到器件 如果使用双板级连接, 则将 JTAG 电缆连接到板级 B 2. 要开始 Nios II 命令壳, 需要在 Windows 的 Start 菜单上指向 All Programs > Altera > Nios II EDS <version_number>, 然后点击 Nios II <version_number> Command Shell 3. 跳转到 /software_bsp 文件夹 4. 输入下面命名来执行用于建立该参考设计所要求的硬件抽象层 (HAL) 驱动程序的脚本 :./create-this-bsp r 5. 跳转到 /software_app 文件夹 该文件夹包含 srio_main_full.c, srio_regs.h 和 create-this-app 文件 6. 输入下面命令来执行用于编译 srio_main_full.c 中驱动程序软件的脚本 :./create-this-app r

14 14 使用参考设计 7. 请按照下面步骤来对器件编程, 下载软件映像, 以及开始 Nios II 终端会话 : a. 在命令壳中输入下面命令来对器件编程 : nios2-configure-sof -d 1../srio_2500_x1.sof r b. 输入下面命令将软件映像下载到器件中 : nios2-download --device=1 -g srio_test.elf r c. 通过输入下面命令来开始 Nios II 终端对话, 从而与器件中的 Nios II 处理器进行通讯 nios2-terminal --device=1 r d. 如果使用双板级链接, 那么现在需要将 JTAG 电缆从板级 B 连接到板级 A 在同一 Nios II 命令壳中, 对板级 A 重复步骤 a 到步骤 c 8. 打开 Quartus II 软件 在 Tools 菜单中, 点击 SignalTap II Logic Analyzer 来打开 SignalTap TM 嵌入式逻辑分析器文件 stp1.stp stp1.stp 文件包含一列随着重配置过程的变化而变化的内部信号 通过查看这些信号上的活动以确认是否执行了重配置 您也可以在第 19 页图 10 到第 21 页图 12 中查看这些信号 9. 在 nios2-terminal 中输入下面的命令来重配置 RapidIO MegaCore 功能的数据速率 : rate_reconfig <desired data rate> r 1 所需的数据速率可以是 1250,2500,3125, 或者 5000 如果使用相同的数据速率重配置 RapidIO MegaCore 功能, 那么 Nios II 处理器会执行重配置以及复位过程, 但是最终的数据速率将不会改变 如果使用不支持的数据速率来重配置 RapidIO MegaCore 功能, 那么 Nios II 终端会显示例 1 中的错误信息 : 例 1. 错误信息

15 使用参考设计 15 要检查速率重配置之前和之后的当前链路的状态, 需要在 nios2-terminal 中输入 Link 如果链路被建立, 则返回的命令显示 Link Up, 请参考例 2 如果链路断开, 返回的命令显示 Link Down 例 2. 成功的 Link Up 如果只使用一个板级来进行重配置, 那么可以在所有的情况下建立链路, 这是因为 TX 与 RX 端口是使用相同的数据速率重配置的 如果通过已建立的链路在两个板级上运行两个设计, 那么在重配置过程之后, 链路能够接通也能够断开, 这取决于已重配置的所需数据速率是否与另一个端口上的数据速率相同 1 在 nios-2 终端对话中输入 r 来使用软件复位命令

16 16 验证的测试用例 ( 双板连接 ) 验证的测试用例 ( 双板连接 ) 运行参考设计后, 可以通过执行测试用例 1 和 2 来验证动态数据速率重配置过程 如果链路搭档的数据速率不一样, 那么 RX 端口无法锁定频率, 并且 RapidIO 链路会断开 然而, 如果链路搭档的数据速率相同, 那么 RapidIO MegaCore 功能会成功地建立一个链路 图 9 显示了测试用例 1 和 2 的双板连接 图 9. 双板连接的测试用例 Board A (Device Under Test) Board B (Control) RX port (rd_rapidio) Test Case 1 TX port (td_rapidio) Stratix IV GX High-speed Serial Interface through SMA Cable Stratix IV GX TX port (td_rapidio) Test Case 2 RX port (rd_rapidio) Test Case 1: Testing TX port Test Case 2: Testing RX port 测试用例 1: 测试 TX 端口 测试用例 1 的初始数据速率为 GBaud. 这一测试用例的目的在于测试板级 A 的 TX 端口 如果将板级 A 的初始数据速率重配置成 GBaud, 那么由于板级 A 和 B 运行在不同的数据速率下, 因此链路会断开 板级 A 的 TX 端口上的数据速率被重配置为所需的数据速率, 但是板级 B 的 RX 端口上的数据速率保持不变 因此, 数据速率无法同步, 链路会断开 通过使用 SignalTap II 嵌入式逻辑分析器, 您可以监控 port_initialized 信号上的测试结果 如果将数据速率从 GBaud 重配置成 GBaud, 那么您可以监控 RapidIO MegaCore 功能中的重置序列, 并且可以观测相应的链路何时被重建 表 3 显示了测试用例 1 的测试条件 表 3. 测试用例 1 的测试条件 板级 步骤 链路 (port_initialized 信号 ) A 和 B 使用 GBaud 设计进行配置 Lock (1 b1) A 从 GBaud 重配置成 GBaud Lost lock (1 b0) A 从 GBaud 重配置成 GBaud Lock (1 b1)

17 验证的测试用例 ( 双板连接 ) 17 测试用例 2: 测试 RX 端口 测试用例 2 的初始数据速率为 GBaud 这一测试用例的目的在于测试 RX 端口 如果将板级 B 的初始数据速率配置成 GBaud, 则运行在 GBaud 数据速率的板级 A 会失去链路 请注意, 板级 B 是采用所需的数据速率配置的, 并且没有被重配置 您可以准备另一个运行在 GBaud 数据速率的.sof 文件, 并将这个.sof 文件编程到板级 B 如果板级 B 的 TX 端口有一个不同的数据速率, 则链路会断开 要想重新建立链路, 您必须使用相同的数据速率来重配置板级 A, 这里使用 GBaud 如果板级 A 的 RX 端口上的所需数据速率与板级 B 的 TX 端口上的数据速率相匹配, 则链路会被重新建立 表 4 显示了测试用例 2 的测试条件 表 4. 测试用例 2 的测试条件 板级 步骤 链路 (port_initialized 信号 ) A 和 B 使用 GBaud 设计进行配置 Lock (1 b1) B 将 GBaud.sof 文件编程到板级 B Lost lock (1 b0) A 从 GBaud 重配置成 GBaud Lock (1 b1) f 要了解关于 SignalTap II 嵌入式逻辑分析器的详细信息, 请参考 Quartus II 手册第 3 卷中的 Design Debugging Using the SignalTap II Embedded Logic Analyzer 章节 波形实例 本章节提供了动态数据速率重配置过程的 SignalTap II 信号和波形 表 5 对第 19 页图 10 到第 21 页图 12 中所示的信号作了说明 表 5. SignalTap II 嵌入式逻辑分析器上显示的已选择信号 (1/2) 信号 port_initialized reset_state_machine softctrl reset_srio_n( 注释 1) softctrl sys_mnt_s_...( 注释 1) 说明表明成功地完成了串行 RapidIO 初始化序列 链路被建立 监控复位后的收发器 PLL 和频率的锁定状态 当 RapidIO MegaCore 功能锁定收发器 PLL 和频率时,RapidIO 链路被建立 当被下面其中一个条件触发时, 进行复位 : 软件重置 硬件重置 重配置过程用户逻辑上的 Avalon-MM 信号, 来自 SOPC Builder 系统 (Nios II 处理器 )

18 18 验证的测试用例 ( 双板连接 ) 表 5. SignalTap II 嵌入式逻辑分析器上显示的已选择信号 (2/2) 信号 reconfig reconfig_ena( 注释 2) reconfig rate_reconfig( 注释 2) reconfig reconfig_done( 注释 2) controller ( 注释 3) 说明 使能动态数据速率重配置过程 另外, 也表明了 rate_reconfig[1:0] 信号有效, 以及 srio_softctrl 用户逻辑上的输出和到重配置控制器的输入 一个表明当前重配置数据速率的内部信号 当 srio_softctrl 用户逻辑拉起 reconfig_ena 信号时, rate_reconfig 值表明要重配置的当前数据速率, 并表明值是有效的 下面的值表明当前数据速率 : 0h: GBaud 1h: GBaud 2h: GBaud 3h: GBaud 表明重配置过程完成 返回所有的状态和输出信号到它们的默认值 控制器中来自.mif 文件的数据, 地址和信号 这些信号被输入到 ALTGX_RECONFIG 宏功能 表 5 注释 : (1) 从 Nios II 处理器到 srio_softctrl 用户逻辑 (SOPC Builder 系统中的 Avalon 总线 ) 的信号 (2) 从 srio_softctrl 用户逻辑 (SOPC Builder 系统 ) 到控制器的管道信号 (3) 从控制器到 ALTGX_RECONFIG 宏功能的信号

19 验证的测试用例 ( 双板连接 ) 19 图 10 到第 21 页图 12 显示了动态数据速率重配置过程的 SignalTap II 波形 图 10 显示了动态数据速率重配置过程开始时的 SignalTap II 逻辑分析器波形实例 通过将 reconfig_ena 信号设置成 SignalTap 文件中的触发点来获得这一波形 图 10. 动态数据速率重配置过程的开始 ( 注释 1) 图 10 注释 : (1) 取代第 17 页表 5 中所表示的 5.0 GBaud,rate_reconfig 信号的初始值为 0h, 由于寄存器复位 rate_reconfig 信号的值仅在 reconfig_ena 信号 (1 b1) 拉起时有效, 使 rate_reconfig 信号能够被发送到控制器进行处理 如果要开始重配置过程, 则需要在 nios2- terminal 中输入软件命令 rate_reconfig< 所需的数据速率 > 当输入软件命令时,Nios II 嵌入式处理器提示 srio_softctrl 用户逻辑拉起 reconfig_ena 信号 rate_reconfig 信号被重配置成 GBaud (3h) 在控制器接收到 rate_reconfig 和 reconfig_ena 信号后, 在 ALTGX_RECONFIG 宏功能开始一系列的写脉冲 随着控制器上每一个写脉冲的生成, reconfig_data 和 reconfig_address 信号对 ALTGX_RECONFIG 宏功能中选择的.mif 文件内容进行加载, 并且对收发器进行重配置 当重配置过程开始时, srio_softctrl 用户逻辑会发送复位信号, 以对 RapidIO MegaCore 功能进行复位 RapidIO 链路断开并且置低 port_initialized 信号, 保持复位直到完成重配置过程

20 20 验证的测试用例 ( 双板连接 ) 图 11. 动态数据速率重配置完成后 图 11 显示了动态数据速率重配置过程完成后的 SignalTap II 逻辑分析器的波形实例 通过将 reconfig_done 信号设置成 SignalTap 文件中的触发点来获得这一波形 当 ALTGX_RECONFIG 宏功能接收来自控制器的已选择的.mif 文件内容时, 通过 reconfig_togxb 信号来重配置 RapidIO MegaCore 功能中的收发器 ALTGX_RECONFIG 宏功能写入.mif 文件中所有内容, 以完成重配置过程 ALTGX_RECONFIG 宏功能对收发器进行完全重配置后, 拉起 reconfig_done 信号, 以表明重配置过程已经完成 srio_softctrl 用户逻辑中的状态机将 reconfig_ena 信号置低,srio_softctrl 用户逻辑以及重配置控制器返回到空闲状态 当重配置过程完成时, reset_srio_n 信号释放 RapidIO MegaCore 功能上的复位 RapidIO MegaCore 功能然后会尝试锁定收发器 PLL 以及频率, 以重新建立链路

21 使能重配置 21 图 12. 当链路被重建时 图 12 显示了当链路被重建时 SignalTap II 逻辑分析器的波形实例 通过将 port_initialized 信号设置成 SignalTap 文件中的触发点来获得这一波形 如果数据速率在 TX 和 RX 端口上的相同,RapidIO MegaCore 功能会锁定收发器 PLL 以及频率, 来重新建立链路 RapidIO MegaCore 功能拉高 port_initialized 信号以指明链路被建立并且可以使用 现在,RapidIO MegaCore 功能就能够以所需的数据速率发送和接收数据 使能重配置 本章节提供了为您的设计实现重配置过程时, 可能用到的额外信息 1 参考设计按照以下步骤提供了包含最终结果的 SRAM 目标文件 (.sof) 请按照下列步骤来重配置 RapidIO MegaCore 功能中的收发器 : 1. 例化 SOPC Builder 系统中的 RapidIO MegaCore 功能 2. 生成 SOPC Builder 系统 3. 在 rapidio hierarchy 下, 点击 rapidio_riophy_gxb 来运行 ALTGX 参数编辑器

22 22 文档修订历史 4. 要使 ALTGX_RECONFIG 宏功能够重配置收发器中的 Channel and CMU PLL, 在 ALTGX_RECONFIG 参数接口的 Reconfiguration settings 标签中, 开启 Enable Channel and Transmitter PLL reconfiguration. 5. 点击 Finish, 重新生成收发器文件 请按照下列步骤来例化 ALTGX_RECONFIG 宏功能以及选择 Channel and CMU PLL 重配置模式 : 1. 在 Tools 菜单中, 点击 MegaWizard Plug-In Manager 2. 点击 Next 3. 在宏功能列表中, 点击 I/O category 旁边的 + 图标, 然后选择 ALTGX_RECONFIG 点击 Next 4. 在 ALTGX_RECONFIG 参数编辑器的 Reconfiguration settings 标签中, 开启 Channel and TX PLL select/reconfig ALTGX_RECONFIG 宏功能通过 reconfig_togxb 和 reconfig_fromgxb 信号与 RapidIO MegaCore 功能中收发器交换数据 其它信号连接到控制器, 以获得已选择的.mif 文件中的重配置数据 f 要了解关于 ALTGX_RECONFIG 宏功能的详细信息, 请参考 Stratix IV 器件手册第 2 卷中的 Stratix IV Dynamic Reconfiguration 章节 1 如果您的设计需要不同的收发器设置 ( 例如, 如果您的设计使用一个与参考设计中指定频率不同的时钟频率 ),Altera 建议使用所需的收发器设置, 来重新生成用于四个数据速率的四个.mif 文件 必须重新生成.mif 文件, 这是因为 reconfig_mif 文件夹中的.mif 文件包含仅用于 RapidIO MegaCore 功能的收发器设置 f 要了解关于例化多个.mif 文件的详细信息, 请参考 Stratix IV 器件手册第 2 卷中的 Stratix IV Dynamic Reconfiguration 章节 文档修订历史 表 6 显示了本应用笔记的修订历史 表 6. 文档修订历史日期 版本 修订内容 2010 年 12 月 1.0 首次发布

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

Achieving One TeraFLOPS with 28-nm FPGAs

Achieving One TeraFLOPS with 28-nm FPGAs 28nm FPGA TeraFLOPS WP011421.0 DSP 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera ALTERA ARRIA CYCLONE HARDCOPY MAX MEGACORE NIOS QUARTUS STRATIX Altera www.altera.com/common/legal.html

More information

CANVIO_AEROCAST_CS_EN.indd

CANVIO_AEROCAST_CS_EN.indd 简 体 中 文...2 English...4 SC5151-A0 简 体 中 文 步 骤 2: 了 解 您 的 CANVIO AeroCast CANVIO AeroCast 无 线 移 动 硬 盘 快 速 入 门 指 南 欢 迎 并 感 谢 您 选 择 TOSHIBA 产 品 有 关 您 的 TOSHIBA 产 品 的 详 情, 请 参 阅 包 含 更 多 信 息 的 用 户 手 册 () 安

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線.

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線. AutoCAD 2016 新 功 能 預 覽 指 南 編 譯 版 本 :Y150327, 內 容 僅 供 學 習 參 考, 所 有 訊 息 請 以 官 方 資 訊 為 準, 更 多 資 訊 請 下 載 原 文 PDF 檔 或 觀 賞 Youtube 頻 道 的 示 範 影 片 Design every detail with Autodesk AutoCAD software, one of the

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

WFC40810

WFC40810 9000086873 (PD 85 05 10) Operating and Installation Instructions Please read this specification carefully before you use the product. Any failure and losses caused by ignoring the above mentioned items

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Microsoft PowerPoint - ARC110_栾跃.ppt

Microsoft PowerPoint - ARC110_栾跃.ppt ARC110 软 件 构 架 设 计 的 原 则 和 指 南 课 程 内 容 概 述 介 绍 和 引 言 软 件 构 架 和 构 架 师 软 件 构 架 的 设 计 模 式 框 架 和 参 照 设 计 自 我 介 绍 第 一 代 自 费 留 学 生 : 美 国 南 伊 利 诺 州 立 大 学 (SIUE) 电 机 工 程 学 士 (1984) 及 硕 士 学 位 (1985) 历 任 OwensIllinois,

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

WVT new

WVT new Operating and Installation Instructions 5120 004601 (PD 84 09 25) Please read this specification carefully before you use the product. Any failure and losses caused by ignoring the above mentioned items

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资 IBM 全 球 企 业 咨 询 服 务 部 IBM 商 业 价 值 研 究 院 案 例 研 究 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 中 国 五 矿 集 团 公 司 ( 以 下 简 称 五 矿 集 团 ) 人 力 资 源 系 统 就 像 一 座 虚 拟 的 人 力 资 源 大 厦, 它 帮 助 五 矿 集 团 创 建 了 一 套 人 力 资 源 的 信 息 标 准, 形 成 了 一

More information

cs

cs 2016 2011 ( ) ( ) 2016 111 B2358 2016 111 2016 2011 ( ) ( ) 1. 2011 ( )...B2362 2. 1 ( )...B2362 3. 3 ( )...B2364 4. 3C 3D...B2366 5. 3E 3F...B2366 3E....B2366 3F. 3E...B2368 6. 7C 7D...B2368 7. 7E 7F...B2368

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s MapAsia MapKing TM User Guide Full Function Version (Pocket PC and PC) For Microsoft Pocket PC/ Pocket PC 2002/2003 Microsoft Windows XP/2000/Me/98 Edition 2004 ( : ) 2002-2004, MapAsia.com Limited Table

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

Intel® Stratix® Avalon® -MM硬IP PCIe* 设计实例用户指南

Intel®  Stratix® Avalon®  -MM硬IP   PCIe*  设计实例用户指南 Intel Stratix 10 Avalon -MM 硬 IP PCIe* 设计实例用户指南 针对 Intel Quartus Prime 设计套件的更新 :17.1 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 1. 快速入门指南... 3 1.1. 设计组件...3 1.2. 目录结构...4 1.3. 生成设计实例... 5 1.4. 仿真设计实例... 6 1.5. 编译设计实例并为器件编程...8

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone,

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, respectively, and has been certified by the developer

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

PPTVIEW

PPTVIEW New Product line GP series AD3828GP~ AD12038GP DS series AD3828DS~ AD9238DS AB series AB6015~ AB12032 AS series AS6076~ AS12038 GP series Great Performance Axial fan New design for higher airflow & low

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

Microsoft Word - A1译者的话.doc

Microsoft Word - A1译者的话.doc BC 省 司 机 道 路 意 识 手 册 根 据 ICBC 2000 年 版 ROADSENSE FOR DRIVERS 编 译 尤 其 适 合 于 用 国 语 ( 普 通 话 ) 考 试 的 人 士 原 文 译 者 : 彭 铁 兵 (pengtiebing@yahoo.com) 修 订 排 版 : 张 文 (wenzhangok@gmail.com) 修 订 日 期 :2006/2/14 译 者

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

01CP-WX3030WNetc_CO_ENG.indd

01CP-WX3030WNetc_CO_ENG.indd Data Video Projector User s Manual (Concise) ModelS: 8928A/8930A/8931WA/ 8933W Information in this Guide may change due to product improvements. To obtain the latest manuals, literature, and software please

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

Microsoft Word - Functional_Notes_3.90_CN.doc

Microsoft Word - Functional_Notes_3.90_CN.doc GeO-iPlatform Functional Notes GeO Excel Version 3.90 Release Date: December 2008 Copyrights 2007-2008. iplatform Corporation. All rights reserved. No part of this manual may be reproduced in any form

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

Microsoft Word - EE-175_cn.doc

Microsoft Word - EE-175_cn.doc Engineer-to-Engineer Note EE-175 更 多 关 于 ADI 公 司 的 DSP 处 理 器 以 及 开 发 工 具 的 技 术 资 料, 请 访 问 网 站 :http://www.analog.com/ee-note 和 http://www.analog.com/processor 如 需 技 术 支 持, 请 发 邮 件 至 processor.support@analog.com

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

Optical Transport Networks for 100G Implementation in FPGAs

Optical Transport Networks for 100G Implementation in FPGAs FPGA 100G WP-01115-1.1 100G 100G 100G FPGA Altera Stratix IV GT FPGA 40-nm1.3-Gbps 100G 100G Altera Stratix IV GX Arria II GX Arria II GZ FPGAHardCopy ASIC OTN FPGA 100G OTN 2007 2012 IP 6 2012 522 (10

More information

HY144-D-SRC 固件升级指南

HY144-D-SRC 固件升级指南 HY144-D-SRC Yamaha HY144-D-SRC SRC SRC 7 Yamaha Yamaha Audinate Dante Yamaha Windows Microsoft Corporation Mac Apple Inc. 400-051-7700... 2... 2 Dante Firmware Update Manager... 3 TCP/IP... 4... 6 Failsafe

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

Desktop Management Guide

Desktop Management Guide Ĵ* 商 用 台 式 机 文 档 部 件 号 312947-AA1 2003 詤 3 本 指 南 介 绍 了 预 装 在 某 些 机 型 上 的 安 全 保 护 功 能 和 智 能 管 理 功 能 的 定 义 及 使 用 说 明 2002 Hewlett-Packard Company 2002 Hewlett-Packard Development Company, L.P. HP Hewlett

More information

Microsoft PowerPoint ARIS_Platform_en.ppt

Microsoft PowerPoint ARIS_Platform_en.ppt ARIS Platform www.ixon.com.tw ARIS ARIS Architecture of Integrated Information System Prof. Dr. Dr. h.c. mult. August-Wilhelm Scheer ARIS () 2 IDS Scheer AG International Presence >> Partners and subsidiaries

More information

2.1 Altera Quartus (SOPC) SOPC Quartus (PLD) Quartus FPGA CPLD 2.1 Quartus

2.1 Altera Quartus (SOPC) SOPC Quartus (PLD) Quartus FPGA CPLD 2.1 Quartus 2 Quartus 2.1 2.2 Quartus 2.3 Quartus 2.4 2.5 2.6 2.7 2.8 2.1 Altera Quartus (SOPC) SOPC Quartus (PLD) Quartus FPGA CPLD 2.1 Quartus 2.1 Quartus 2.1.1 Quartus 2.2 Quartus Text Editor Block&Symbol Editor

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

1500XA Daniel Danalyzer 1500XA Rosemount Analytical 1500XA P/N 3-9000-757 A 2010 5 ii 1500XA 1500XA iii iv 1500XA : 1-2 1500XA - 1500XA 1-3 1-4 1500XA 1500XA 1-5 1-6 1500XA 1500XA 1-7 1-8 1500XA

More information

小儿疾病防治(四).doc

小儿疾病防治(四).doc ...1...3...6...10...12...13...14...15...17...20...21...22...23...23...24...25 B...28...31...32 I ...33...35...37...40...41...43 X...44...45...47...49...50...52...52...54...56...57...59...61...62...62...63...66

More information

行业

行业 PCI-1713 1.1...2 1.1.1...2 1.1.2...2 1.1.3...2 1.1.4...2 1.2...2 1.3...3 1.4...3 2.1...4 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 3.1...13 3.1.1...13 3.1.2...14 3.2...15 4.1 4.2...16 4.2.1 ADSOFT/ADTRIG...16

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM Oracle Solaris Studio 12.2 DLight 2010 9 2 2 3 DLight 3 3 6 13 CPU 16 18 21 I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AMP Apache MySQL

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information