SinoMCU 8 位单片机 MC30P6250 用户手册 V1.0 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 本文档的更改, 恕不另行通知

Size: px
Start display at page:

Download "SinoMCU 8 位单片机 MC30P6250 用户手册 V1.0 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 本文档的更改, 恕不另行通知"

Transcription

1 SinoMCU 8 位单片机 MC30P6250 用户手册 V1.0 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 本文档的更改, 恕不另行通知

2 目录 1 产品概要 产品特性 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CMP 特性参数 CPU 及存储器 指令集 程序存储器 数据存储器 堆栈 控制寄存器 用户配置字 系统时钟 内部高频 RC 振荡器 内部低频 RC 振荡器 外部晶体振荡器 系统工作模式 低功耗模式 复位 复位条件 上电复位 外部复位 低电压复位 看门狗复位 I/O 端口 通用 I/O 功能 内部上 / 下拉电阻 端口模式控制 定时器 TIMER 看门狗定时器 WDT 定时器 T 定时器 T 比较器 CMP 和电压检测 EVD CMP 概述 CMP 相关寄存器 中断 外部中断 上海晟矽微电子股份有限公司 2/51

3 9.2 定时器中断 CMP 中断 键盘中断 中断相关寄存器 特性曲线 I/O 特性 功耗特性 模拟电路特性 封装尺寸 SOP DIP SOT 修订记录 上海晟矽微电子股份有限公司 3/51

4 1 产品概要 1.1 产品特性 8 位 CPU 内核 精简指令集,5 级深度硬件堆栈 CPU 为单时钟, 仅在系统主时钟下运行 系统主时钟下 FCPU 可配置为 2/4 分频 存储器 1K 14 位 OTP 型程序存储器 50 字节 SRAM/REG 型通用数据存储器, 支持直接寻址 间接寻址等多种寻址方式 1 组共 6 个 I/O P1(P10~P15) P13 为输入 / 开漏输出口, 可复用为外部复位 RST 输入, 编程时为高压 VPP 输入 P15/P14 可复用为外部时钟振荡器输入 / 输出 所有端口均内置上拉电阻,P10~P12 内置下拉电阻, 均可单独使能 除 P13 外其余端口均可选开漏或推挽输出 所有端口均支持键盘中断唤醒功能, 并可单独使能 系统时钟源 内置高频 RC 振荡器 (16MHz/8MHz/4MHz/2MHz/1MHz/455KHz), 可用作系统主时钟源 支持外接高频晶体振荡器 (455KHz/4MHz~16MHz), 可用作系统主时钟源 内置低频 RC 振荡器 (32KHz), 可用作系统主时钟源 或系统低频时钟源 支持外接低频晶体振荡器 (32768Hz), 可用作系统主时钟源 或系统低频时钟源 系统工作模式 运行模式 :CPU 在系统主时钟下运行 休眠模式 :CPU 停止运行, 系统主时钟源停止工作 内部自振式看门狗计数器 (WDT) 与定时器 T0 共用预分频器 溢出时间可配置 :4.5ms/18ms/72ms/288ms( 无预分频 ) 工作模式可配置 : 开启 WDT 关闭 WDT, 也可软件控制开启或关闭 2 个定时器 8 位定时器 T0, 支持系统低频时钟, 可实现外部计数功能, 与 WDT 共用预分频器 8 位定时器 T1, 可实现外部计数 BUZ 4 路共周期独立占空比的 PWM( 可组合成 2 对互反的带死区互补 PWM) 1 个模拟比较器 CMP 输入共模 0 ~(VDD-1.4V), 输出无回滞 正端输入可选择外部输入电压, 或内部参考电压 VIR(0.5V) 负端输入可选择外部输入电压, 或外部输入电压 /VDD 的内部分压电压 输出端电平可选上升或下降沿触发中断, 可从端口输出且支持输出取反 可实现 VDD 或外部输入的电压检测功能 中断 外部中断 (INT), 键盘中断 (P10~P15) 定时器中断 (T0~T1),CMP 中断上海晟矽微电子股份有限公司 4/51

5 MC30P6250 A0H/A0A MC30P6250 A0T 低电压复位 LVR:1.8V/2.0V/2.3V/2.7V/3.0V 工作电压 VLVR30 ~ Fcpu = 0~8MHz VLVR23 ~ Fcpu = 0~4MHz VLVR20 ~ Fcpu = 0~2MHz VLVR18 ~ Fcpu = 0~32KHz/2 封装形式 :SOP8/DIP8/SOT 订购信息 产品名称封装形式备注 MC30P6250A0H MC30P6250A0A MC30P6250A0T MC30P6250A1T SOP8 DIP8 SOT23-6 SOT 引脚排列 MC30P6250A0H/A0A VDD OSCI/EVN1/PWM1D/P15 [SDO]/OSCO/EVN0/P14 [VPP]/RST/CMPN/P GND P10/INT/TC1/PWM1C/EVN2/[SDO] P11/PWM1B/[SDI] P12/TC0/BUZ1/PWM1A/CMPO/[SCK] SOP8/DIP8 MC30P6250A0T [SDI]/PWM1B/P11 GND [SDO]/EVN0/P P12/TC0/BUZ1/PWM1A/CMPO/[SCK] VDD P13/CMPN/RST/[VPP] SOT23-6 上海晟矽微电子股份有限公司 5/51

6 MC30P6250 A1T MC30P6250A1T [VPP]/RST/CMPN/P13 [SCK]/CMPO/PWM1A/BUZ1/TC0/P12 VDD P11/PWM1B/[SDI] P10/INT/TC1/PWM1C/EVN2/[SDO] GND SOT 端口说明 端口名称 类型 功能说明 VDD P 电源 GND P 地 P10~P12 D GPIO, 内部上 / 下拉 P14,P15 D GPIO, 内部上拉 P13 D 开漏 IO, 内部上拉 INT DI 外部中断输入 TC0~TC1 DI 定时器 T0~T1 的外部计数输入 PWM1A~PWM1D DO 定时器 T1 的 4 路 PWM 输出 BUZ1 DO 定时器 T1 的 BUZ 输出 CMPN AI CMP 负端外部输入 EVN0 AI CMP 正端外部输入 ;CMP 电压检测外部输入通道 EVN1~EVN2 AI CMP 电压检测外部输入通道 CMPO DO CMP 输出 OSCI,OSCO A 外部时钟振荡器输入 / 输出 RST DI 外部复位输入 SCK,SDI,SDO D 编程时钟 / 数据输入 / 数据输出接口 VPP P 编程高压输入 注 :P- 电源 ;D- 数字输入输出,DI- 数字输入,DO- 数字输出 ;A- 模拟输入输出,AI- 模拟输入,AO- 模拟输出 上海晟矽微电子股份有限公司 6/51

7 2 电气特性 2.1 极限参数 参数 符号 值 单位 电源电压 VDD -0.3~6.0 V 输入电压 Vin -0.3~VDD+0.3 V 工作温度 Ta -40~85 储存温度 Tstg -65~150 流入 VDD 最大电流 IVDDmax 50 ma 流出 GND 最大电流 IGNDmax 50 ma 注 : 若芯片工作条件超过极限值, 则将造成永久性损坏 ; 若芯片长时间工作在极限条件下, 则会影响其可靠性 2.2 直流电气特性 VDD=5V,T=25 特性 符号 端口 条件 最小 典型 最大 单位 Fcpu=8MHz@HIRC-16M VLVR Fcpu=8MHz@XTAL-16M VLVR Fcpu=4MHz@HIRC-8M VLVR Fcpu=4MHz@XTAL-8M VLVR Fcpu=2MHz@HIRC-4M VLVR 工作电压 VDD VDD Fcpu=2MHz@XTAL-4M VLVR Fcpu=1MHz@HIRC-2M VLVR V Fcpu=500KHz@HIRC-1M VLVR Fcpu=227.5KHz@HIRC-455K VLVR Fcpu=227.5KHz@XTAL-455K VLVR Fcpu=16KHz@LIRC/2 VLVR Fcpu=16384Hz@LEXT/2 VLVR 输入漏电流 Ileak 所有输入脚 VDD=5V -1 1 ua SMT 开启, SMTVS 配置 0.8VDD V 输入高电平 Vih 所有输入脚 SMT 开启, SMTVS 配置 2 V SMT 关闭 2 V SMT 开启, SMTVS 配置 0.2VDD V 输入低电平 Vil 所有输入脚 SMT 开启, SMTVS 配置 0.8 V SMT 关闭 1.0 V 输出拉电流 Ioh 推挽输出脚 Voh=VDD-0.6V 20 ma 输出灌电流 Iol 所有输出脚 Vol=0.6V 30 ma 上海晟矽微电子股份有限公司 7/51

8 Vin=0, RUSEL 配置 KΩ P1( 除 P13) 上拉电阻 Rpu Vin=0, RUSEL 配置 KΩ P13 Vin= KΩ 下拉电阻 Rpd P10-P12 Vin=VDD=5V KΩ 2.9 ma 3.6 ma 1.6 ma 2.0 ma 0.9 ma 运行模式功耗 Irun VDD 1.3 ma 580 ua 420 ua 330 ua 700 ua VDD=5V, Fcpu=FLIRC/2, LVR 开 8 ua VDD=5V, Fcpu=FLEXT/2, LVR 开 18 ua WDT 关, LVR 关 ua 休眠模式功耗 Istop VDD VDD=5V, WDT 开, LVR 关 ua VDD=5V, LEXT 开, LVR 关 ua VLVR18-5% % VLVR20-5% % 低压复位电压 VLVR23 VDD -5% % V VLVR27-5% % VLVR30-5% % LVR 回滞电压 VDD 6% 12% 注 : 功耗特性参数的条件说明中, 诸如 HIRC/LIRC/WDT/LVR/LVD/ADC 等未注明模块, 默认其为关闭状态 2.3 交流电气特性 特性符号条件最小典型最大单位 HIRC 振荡频率 FHIRC VDD=5V, T=25-3% +3% MHz VDD=2.0V~5.5V, T=-40 ~85-5% +5% LIRC 振荡频率 FLIRC VDD=5V, T=25-50% % KHz 16M 晶振起振电压 T= V 8M 晶振起振电压 T= V 4M 晶振起振电压 T= V 455K 晶振起振电压 T= V 晶振起振电压 T= V 晶振起振时间 VDD=5V, T=25 1 s OSCI 内部对地电容 CG 10 pf OSCO 内部对地电容 CD 10 pf 上海晟矽微电子股份有限公司 8/51

9 2.4 CMP 特性参数 VDD=5V,T=25 特性 符号 条件 最小 典型 最大 单位 CMP 有效工作电压 VCMP T=-40 ~ V 比较电路工作电流 ICMP 10 ua VDD 检测工作电流 IEVD VDD=5V, EVDIS= ua 输入失调电压 Voffset mv 输入共模电压 Vcom 0 VDD-1.4 V 响应时间 TRESP 50 us 通道切换等待时间 TCCW 200 us 内部参考电压 VIR -10% % V 电阻分压比值 -1% +1% 上海晟矽微电子股份有限公司 9/51

10 3 CPU 及存储器 3.1 指令集 芯片的指令集为精简指令集 除程序跳转类指令, 其余指令均为单周期指令, 即执行时间为 1 个指 令周期 ; 所有指令均为单字指令, 即指令码只占用 1 个程序存储器地址空间 指令汇总表 助记符 说明 操作 周期长度 标志 ADDAR R R 和 ACC 相加, 结果存入 ACC R+ACC ACC 1 1 C,DC,Z ADDRA R R 和 ACC 相加, 结果存入 R R+ACC R 1 1 C,DC,Z ADCAR R R 和 ACC 相加 ( 带 C 标志 ), 结果存入 ACC R+ACC+C ACC 1 1 C,DC,Z ADCRA R R 和 ACC 相加 ( 带 C 标志 ), 结果存入 R R+ACC+C R 1 1 C,DC,Z RSUBAR R R 和 ACC 相减, 结果存入 ACC R-ACC ACC 1 1 C,DC,Z RSUBRA R R 和 ACC 相减, 结果存入 R R-ACC R 1 1 C,DC,Z RSBCAR R R 和 ACC 相减 ( 带 C 标志 ), 结果存入 ACC R-ACC-/C ACC 1 1 C,DC,Z RSBCRA R R 和 ACC 相减 ( 带 C 标志 ), 结果存入 R R-ACC-/C R 1 1 C,DC,Z ANDAR R R 和 ACC 与操作, 结果存入 ACC R and ACC ACC 1 1 Z ANDRA R R 和 ACC 与操作, 结果存入 R R and ACC R 1 1 Z ORAR R R 和 ACC 或操作, 结果存入 ACC R or ACC ACC 1 1 Z ORRA R R 和 ACC 或操作, 结果存入 R R or ACC R 1 1 Z XORAR R R 和 ACC 异或操作, 结果存入 ACC R xor ACC ACC 1 1 Z XORRA R R 和 ACC 异或操作, 结果存入 R R xor ACC R 1 1 Z COMAR R 对 R 取反, 结果存入 ACC R 取反 ACC 1 1 Z COMR R 对 R 取反, 结果存入 R R 取反 R 1 1 Z R[7] C RLAR R R 循环左移 ( 带 C 标志 ), 结果存入 ACC RLR R R 循环左移 ( 带 C 标志 ), 结果存入 R RRAR R R 循环右移 ( 带 C 标志 ), 结果存入 ACC RRR R R 循环右移 ( 带 C 标志 ), 结果存入 R R[6:0] ACC[7:1] C ACC[0] R[7] C R[6:0] R[7:1] C R[0] R[0] C R[7:1] ACC[6:0] C ACC[7] R[0] C R[7:1] R[6:0] C R[7] 1 1 C 1 1 C 1 1 C 1 1 C SWAPAR R 交换 R 的高低半字节, 结果存入 ACC R[7:4] ACC[3:0] R[3:0] ACC[7:4] SWAPR R 交换 R 的高低半字节, 结果存入 R R[7:4] R[3:0] R[3:0] R[7:4] 上海晟矽微电子股份有限公司 10/51

11 MOVRA R 将 ACC 存入 R ACC R MOVAR R 将 R 存入 ACC R ACC 1 1 Z MOVR R 将 R 存入 R R R 1 1 Z CLRA 清零 ACC 0 ACC 1 1 Z CLRR R 清零 R 0 R 1 1 Z INCR R R 自加 1 R+1 R 1 1 Z INCAR R R 加 1, 结果存入 ACC R+1 ACC 1 1 Z DECR R R 自减 1 R-1 R 1 1 Z DECAR R R 减 1, 结果存入 ACC R-1 ACC 1 1 Z JZR R R 自加 1; 结果为 0 则跳过下一条指令 R+1 R; 结果为 0 则 PC+2 PC 1/2 1 - JZAR R R 加 1, 结果存入 ACC; 结果为 0 则跳过下一条指令 R+1 ACC; 结果为 0 则 PC+2 PC 1/2 1 - DJZR R R 自减 1; 结果为 0 则跳过下一条指令 R-1 R; 结果为 0 则 PC+2 PC 1/2 1 - DJZAR R R 减 1, 结果存入 ACC; 结果为 0 则跳过下一条指令 R-1 ACC; 结果为 0 则 PC+2 PC 1/2 1 - BCLR R,b 将 R 的第 b 位清 0 0 R[b] BSET R,b 将 R 的第 b 位置 1 1 R[b] JBCLR R,b 若 R 的第 b 位为 0, 则跳过下一条指令 若 R[b]=0, 则 PC+2 PC 1/2 1 - JBSET R,b 若 R 的第 b 位为 1, 则跳过下一条指令 若 R[b]=1, 则 PC+2 PC 1/2 1 - ADDAI K K 和 ACC 相加, 结果存入 ACC K+ACC ACC 1 1 C,DC,Z ISUBAI K K 和 ACC 相减, 结果存入 ACC K-ACC ACC 1 1 C,DC,Z ANDAI K K 和 ACC 与操作, 结果存入 ACC K and ACC ACC 1 1 Z ORAI K K 和 ACC 或操作, 结果存入 ACC K or ACC ACC 1 1 Z XORAI K K 和 ACC 异或操作, 结果存入 ACC K xor ACC ACC 1 1 Z MOVAI K 将 K 存入 ACC K ACC CALL K 子程序调用 PC+1 TOS K PC[10:0] GOTO K 无条件跳转 K PC[10:0] RETURN 从子程序返回 TOS PC RETAI K 从子程序返回, 并将 K 存入 ACC TOS PC K ACC RETIE 从中断返回 TOS PC 1 GIE NOP 空操作 空操作 DAA BCD 码加法后, 将 ACC 的值调整为 BCD 码 ACC(HEX 码 ) ACC(BCD 码 ) 1 1 C DSA BCD 码减法后, 将 ACC 的值调整为 BCD 码 ACC(HEX 码 ) ACC(BCD 码 ) CLRWDT 清零看门狗定时器 0 WDT 1 1 TO,PD STOP 进入低功耗模式 0 WDT;CPU 暂停 1 1 TO,PD 注 : 1 ACC- 算数逻辑单元累加器,R- 数据存储器,K- 立即数 ; 2 对于条件跳转类指令, 若跳转条件成立, 则指令需 2 个周期, 否则只需 1 个周期 ; 上海晟矽微电子股份有限公司 11/51

12 3.2 程序存储器 芯片的程序存储器为 OTP 型存储器,1K 14 位的地址空间范围为 0000H~03FFH 程序存储器地址 分配如下图所示 : 复位起始地址 (0000H) 通用程序区 (0001H H) 中断入口地址 (0008H) 通用程序区 (0009H - 03FFH) 3.3 数据存储器 数据存储器包括通用数据存储器 GPR 和特殊功能寄存器 SFR, 具体地址分配参照下表 GPR/SFR 可直接寻址或通过 INDF 间接寻址 数据存储器区地址映射表 地址 类型 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F 00H-07H INDF T0CNT PCL STATUS FSR GPR1 P1 GPR0 SFR 08H-0FH MCR P1KBCR PDCON ODCON PUCON INTE INTF 10H-3FH GPR 通用数据存储器区 40H-47H T0CR T1DATD DDR1 PWM1CR1 48H-4FH SFR PWM1CR0 T1DATB T1DATC TM0CR T1CR T1CNT T1LOAD T1DATA 50H-57H CMPCR0 CMPCR1 CMPCR2 58H-7FH 保留 注 : 1 上表中灰色部分地址为系统保留区, 读出数据不确定, 写入操作可能会影响芯片正常工作 ; 2 SFR 中的 GPR0(07H) GPR1(05H) 可用作通用数据存储器 GPR; 数据存储器寻址模式地址组成 寻址模式 / / / / / / / / / 来自指令的 7 位地址 直接寻址模式 / / / / / / / / / FSR 间接寻址模式 直接寻址模式, 是以指令的低 7 位为数据存储器地址, 通过指令访问, 寻址范围为 00H~7FH 例 : 通过直接寻址模式将数据 55H 写入数据存储器 10H 地址 上海晟矽微电子股份有限公司 12/51

13 MOVAI 55H MOVRA 10H : 将数据 55H 写入数据存储器 10H 地址 间接寻址模式, 是以 FSR 为数据存储器地址指针, 通过 INDF 访问, 寻址范围为 00H~7FH 例: 通过间接寻址模式将数据 55H 写入数据存储器 10H 地址 MOVAI 10H MOVRA FSR MOVAI 55H MOVRA INDF : 将数据 55H 写入 FSR 指向的数据存储器中 3.4 堆栈 5 级堆栈深度, 当程序响应中断或执行子程序调用指令时 CPU 会将 PC 自动压栈保存 ; 当执行中断 返回指令或子程序返回指令时, 栈顶数据自动出栈载入 PC 3.5 控制寄存器 数据指针寄存器 FSR - FSR6 FSR5 FSR4 FSR3 FSR2 FSR1 FSR0 R/W R R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[6:0] FSR[6:0] 数据指针寄存器 FSR: 间接寻址模式的指针 间接寻址寄存器 INDF INDF7 INDF6 INDF5 INDF4 INDF3 INDF2 INDF1 INDF0 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 X X X X X X X X BIT[7:0] INDF[7:0] 间接寻址寄存器 INDF:INDF 不是物理寄存器, 对 INDF 寻址实际是对 FSR 指向的数据存储器地址进行访 问, 从而实现间接寻址功能 程序指针计数器低字节 PCL PC7 PC6 PC5 PC4 PC3 PC2 PC1 PC0 上海晟矽微电子股份有限公司 13/51

14 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[7:0] PC[7:0] 程序指针计数器低 8 位 程序指针计数器 (PC) 有以下几种操作模式 : 顺序运行指令 :PC = PC + 1; 分支指令 GOTO/CALL:PC = 指令码低 11 位 ; 返回指令 RETIE/RETURN/RETAI:PC = 堆栈栈顶 (TOS); 对 PCL 操作指令 : 对 PCL 操作的加法指令 :PC = (PC[10:0]+ALU[7:0]); 对 PCL 操作的其它指令 :PC = {PC[10:8]:ALU[7:0](ALU 运算结果 )}; CPU 状态寄存器 STATUS WKUP - - TO PD Z DC C R/W R/W - - R R R/W R/W R/W 初始值 X X X BIT[7] WKUP 唤醒源标志位 0: 芯片通过其他唤醒源唤醒 ; 1: 芯片通过键盘中断唤醒 ; BIT[4] TO 看门狗溢出标志位 0: 发生 WDT 溢出 ; 1: 上电复位, 或执行 CLRWDT/STOP 指令 ; BIT[3] PD 进入低功耗模式标志位 0: 执行 STOP 指令 ; 1: 上电复位, 或执行 CLRWDT 指令 ; BIT[2] Z 零标志位 0: 算术或逻辑运算的结果不为零 ; 1: 算术或逻辑运算的结果为零 ; BIT[1] DC 半字节进 / 借位标志位 0: 加法运算时半字节无进位 ; 减法运算时半字节有借位 ; 1: 加法运算时半字节有进位 ; 减法运算时半字节无借位 ; BIT[0] C 进 / 借位标志位 0: 加法运算时无进位 ; 减法运算时有借位 ; 移位后移出逻辑 0; 1: 加法运算时有进位 ; 减法运算时无借位 ; 移位后移出逻辑 1; 上海晟矽微电子股份有限公司 14/51

15 3.6 用户配置字 芯片为保证系统正常工作, 会将关键模块的配置信息预先存储于单独的存储器区域中, 在上电或其他复位发生后将配置信息载入寄存器中, 通过寄存器控制关键模块的工作状态 该部分存储器中用户可选的内容即为用户配置字, 可在烧录用户程序代码时进行配置与烧录 芯片的用户配置字, 定义如下 : 符号 功能说明 系统时钟振荡模式设置 : 单时钟模式 : 内部高频 RC 振荡器 HIRC; 单时钟模式 : 内部低频 RC 振荡器 LIRC; 单时钟模式 : 外部晶体振荡器 16M;( 支持外部 10MHz~16MHz 晶体 ) OSCM HIRCFS FCPUS LVRVS WDTM WDTT SMTEN SMTVS RUSEL RSTEN ENCR 单时钟模式 : 外部晶体振荡器 8M;( 支持外部 6MHz~10MHz 晶体 ) 单时钟模式 : 外部晶体振荡器 4M;( 支持外部 4MHz~6MHz 晶体 ) 单时钟模式 : 外部晶体振荡器 455K;( 支持外部 455KHz 晶体 ) 单时钟模式 : 外部晶体振荡器 32K;( 支持外部 32768Hz 晶体 ) 双时钟模式 : 内部 HIRC + 内部 LIRC; 双时钟模式 : 内部 HIRC + 外部 32K 晶体振荡器 ; HIRC 振荡频率选择 : FHIRC=16MHz;8MHz;4MHz;2MHz;1MHz;455KHz; CPU 时钟频率选择 : FCPU=FOSC/2; FCPU=FOSC/4; LVR 复位电压选择 :(LVR 电压应满足由 FCPU 决定的工作电压特性 ) 1.8V;2.0V;2.3V;2.7V;3.0V; WDT 模式设置 : WDT 始终关闭 ; WDT 始终开启 ; WDT 溢出时间选择 ( 无预分频 ): PWRT=TWDT=4.5ms; PWRT=TWDT=18ms; PWRT=TWDT=72ms; PWRT=TWDT=288ms; 端口输入施密特设置 : 输入 SMT 功能关闭 ; 输入 SMT 功能开启 ; 端口施密特阈值选择 : 2.0V/0.8V; 0.8VDD/0.2VDD; 端口上拉电阻选择 : 80KΩ; 20KΩ; RST 外部复位设置 : P13 为外部复位脚 ; P13 为输入 / 输出脚 ; 程序代码加密设置 : 程序代码加密 ; 程序代码不加密 ; 上海晟矽微电子股份有限公司 15/51

16 4 系统时钟 芯片为双时钟系统 ( 可配置为单时钟模式 ), 内部电路均在系统主时钟 FOSC 或系统低频时钟 FLOSC 下工作, 部分模块的时钟还可在 FOSC 和 FLOSC 之间切换 系统主时钟 FOSC 可通过配置字 OSCM 选择以下时钟 : 内部高频 RC 振荡器 HIRC(16MHz/8MHz/4MHz/2MHz/1MHz/455KHz) 时钟 FHIRC; 内部低频 RC 振荡器 LIRC(32KHz) 时钟 FLIRC; 外部晶体振荡器 XTAL(32768Hz/455KHz/4MHz~16MHz) 时钟 FXTAL; 系统低频时钟 FLOSC 可通过配置字 OSCM 在配置为双时钟模式时选择以下时钟 : 内部低频 RC 振荡器 LIRC(32KHz) 时钟 FLIRC; 外部低频晶体振荡器 LEXT(32768Hz) 时钟 FLEXT; CPU 的时钟源固定为系统主时钟 FOSC,CPU 的时钟频率 FCPU 通过配置字 FCPUS 选择 WDT( 看门狗 ) 电路的时钟源固定为内部低频 RC 振荡器 系统时钟示意图 内部高频 RC 振荡器 HIRC 16M 8M 4M 2M 1M 455K FHIRC 2/4 分频器 FCPUS FCPU FOSC HIRCFS OSCM 内部低频 RC 振荡器 LIRC:32KHz FLIRC OSCM OSCI OSCO 外部晶体谐振器 16/8/4M 455K FXTAL FLIRC FLEXT OSCM FLOSC 4.1 内部高频 RC 振荡器 芯片内置 1 个振荡频率可配置 (16MHz/8MHz/4MHz/2MHz/1MHz/455KHz) 的高精度 HIRC 振荡器, 可用作系统主时钟源 上海晟矽微电子股份有限公司 16/51

17 4.2 内部低频 RC 振荡器 芯片内置 1 个振荡频率典型值为 32KHz 的 LIRC 振荡器, 可用作系统主时钟源或系统低频时钟源, 也用于系统上电延时控制 WDT 定时器等电路 注 : 系统时钟配置为 HIRC+LIRC 双时钟 或系统时钟配置为 LIRC 单时钟后系统处于运行模式 或 WDT 开启, 此时 LIRC 才振荡工作 4.3 外部晶体振荡器 芯片支持外接高频 455KHz/4MHz~16MHz 或低频 32768Hz 的晶体振荡器作为系统主时钟源 还可 在系统时钟配置为双时钟模式时, 选择外接 32768Hz 晶体振荡器作为系统低频时钟源 外接晶振的实际使用中, 对地的负载电容 Cx 是必须的 ( 芯片已内置典型值为 10pF 的对地电容 ) 用户应使晶体离 OSCI/OSCO 引脚的距离尽可能短, 这样有助于振荡器的起振和振荡的稳定性 下表是典型频率晶振选用电容 Cx 的推荐值和相应最低起振电压参考值 晶振频率 (Hz) 电容 Cx(pF) 最低起振电压 (V) 16M 0/ M 0/10/ M 0/10/ K 100/ / 注 : 因晶体品牌繁多且工艺差异较大, 故上表中的参数仅供参考, 具体应用请以晶体的实测结果为准 4.4 系统工作模式 芯片支持运行模式和休眠模式 2 种系统工作模式 工作模式 切入条件 系统状态 系统复位 运行模式 休眠模式下,CPU 唤醒 CPU 运行, 主时钟源工作 休眠模式 运行模式下, 执行 STOP 指令 CPU 暂停, 主时钟源停止 注 : 系统低频时钟源不受工作模式影响, 若系统时钟配置为双时钟则选定的低频时钟源将一直工作 上海晟矽微电子股份有限公司 17/51

18 4.5 低功耗模式 芯片的低功耗模式即为休眠模式 执行 STOP 指令可使系统进入低功耗模式, 同时对系统会产生以下影响 : CPU 停止运行 ; 根据不同模式停止相应时钟源的振荡 ; RAM 内容保持不变 ; 所有的输入输出端口保持原态不变 ; 定时器若其时钟源未停止, 则可以保持继续工作 ; 以下情况可使系统退出低功耗模式 : 上电复位 ; 外部复位 ( 若有外部复位功能 ); 有 WDT 溢出 ( 若低功耗模式下 WDT 保持继续工作 ); 有外部中断请求发生 ( 若有外部中断功能 ); 定时器溢出中断发生 ( 若低功耗模式下定时器保持继续工作 ); 有键盘中断请求发生 ( 若有键盘中断功能 ); 比较器 CMP 中断发生 ( 若低功耗模式下比较器保持继续工作 ); 注 : 低功耗模式下触发中断请求时, 若对应的中断使能位关闭, 则不会退出低功耗模式 ; 若对应的中断使能位开启 而中断总使能位关闭, 则仅唤醒 CPU 执行下一条指令 ; 若对应的中断使能位和中断总使能位均开启, 则唤醒 CPU 后执行中断服务程序 上海晟矽微电子股份有限公司 18/51

19 5 复位 5.1 复位条件 芯片共有如下几种复位方式 : 上电复位 POR; 外部复位 ; 低电压复位 LVR; WDT 看门狗复位 ; 任何一种复位发生后, 系统进入复位状态, 执行初始化操作并重置 SFR 为复位初始值 ; 复位条件解 除后, 系统退出复位状态,CPU 开始重新从程序存储器 0000H 地址处执行指令 上电复位 POR 和低电压复位 LVR 会关闭系统主时钟振荡器, 复位解除后才重新打开振荡器, 因为振荡器起振和稳定需要一定的时间, 所以系统会保持一定时间的上电延时和振荡等待后才开始工作 ; 而外部复位和 WDT 复位不会关闭主时钟振荡器, 复位解除时系统会在较短的复位延时和振荡等待后即开始工作 下图是复位产生和系统工作状态之间时序关系的示意图 : 电源电压 VLVR VPOR 外部复位 WDT 复位 系统时钟 系统状态 系统复位 上电延时 振荡等待 系统运行 系统复位 复位延时 振荡等待 系统运行 系统复位 复位延时 振荡等待 系统运行 系统复位 上电延时 振荡等待 系统运行 上海晟矽微电子股份有限公司 19/51

20 5.2 上电复位 芯片的上电复位电路可以适应快速 慢速上电的情况, 且当芯片上电过程中出现电源电压抖动时均 能保证系统可靠的复位 上电复位过程可以概括为以下几个步骤 : (1) 检测系统工作电压, 等待电压高于上电复位电压 VPOR 并保持稳定 ; (2) 若有 LVR 功能, 则需等待电压高于 VLVR 并保持稳定 ; (3) 若有外部复位功能, 则需等待复位引脚电压高于 Vih; (4) 初始化所有寄存器 ; (5) 开启主时钟振荡器, 并等待一段时间以待振荡器稳定 ; (6) 上电结束, 系统开始执行指令 5.3 外部复位 芯片的外部复位功能可通过配置字 RSTEN 开启, 引脚设为外部复位脚即开启外部复位功能, 端口的内部上拉电阻自动使能 外部复位输入端口 RST 为施密特结构, 低电平有效 当端口输入为高电平时, 系统正常运行 ; 输入为低电平时, 系统复位 5.4 低电压复位 芯片的低电压复位电压可通过配置字 LVRVS 选择 电压检测电路有一定的回滞特性, 回滞电压为 6% 左右 ( 典型值 ), 当电源电压下降至 LVR 电压时 LVR 复位有效, 反之则电源电压需上升至 LVR 电压 +6% 后 LVR 复位才解除 LVR 在休眠模式时将自动关闭, 在进入运行模式后自动开启 5.5 看门狗复位 看门狗 (WDT) 复位是一种对程序正常运行的保护机制 正常情况下, 用户程序需定时对 WDT 定时器进行清零操作, 以保证 WDT 不溢出 若出现异常情况, 程序未按时对 WDT 定时器清零, 则芯片会因 WDT 溢出而产生看门狗复位, 系统重新初始化, 返回受控状态 注 : 低功耗模式下 CPU 暂停工作, 若此时有 WDT 溢出, 则仅唤醒 CPU 而不产生复位 上海晟矽微电子股份有限公司 20/51

21 6 I/O 端口 6.1 通用 I/O 功能 芯片的输入 / 输出端口为一组 6 位端口 P1 所有 I/O 端口均支持施密特输入, 除 P13 外的 I/O 端口均支持推挽输出 除用作通用数字 I/O 端口外, 部分端口还具有外部中断输入 PWM 输出 或 CMP 模拟输入等复用功能 端口数据寄存器 P1 - - P15D P14D P13D P12D P11D P10D R/W - - R/W R/W R/W R/W R/W R/W 初始值 - - X X X X X X BIT[5:0] P1nD P1n 端口数据位 (n=5-0) 端口方向寄存器 DDR1 - - DDR15 DDR14 DDR13 DDR12 DDR11 DDR10 R/W - - R/W R/W R/W R/W R/W R/W 初始值 BIT[5:0] DDR1n P1n 端口方向控制位 (n=5-0) 0: 端口作为输出口, 读端口操作将读取端口的数据寄存器值 ; 1: 端口作为输入口, 读端口操作将读取端口的输入电平状态 ; 6.2 内部上 / 下拉电阻 所有端口均有内部上拉或下拉电阻, 且均有单独的寄存器位控制其上 / 下拉电阻在端口处于输入状态 时是否有效 端口处于输出状态时, 上 / 下拉电阻及其控制位无效 上拉电阻控制寄存器 PUCON - - P15PU P14PU P13PU P12PU P11PU P10PU R/W - - R/W R/W R/W R/W R/W R/W 初始值 BIT[5:0] P1nPU P1n 端口上拉电阻控制位 (n=5-0) 0: 端口内部上拉电阻有效 ; 1: 端口内部上拉电阻无效 ; 上海晟矽微电子股份有限公司 21/51

22 下拉电阻控制寄存器 PDCON - P12PD P11PD P10PD R/W - R/W R/W R/W 初始值 BIT[6:4] P1nPD P1n 端口下拉电阻控制位 (n=2-0) 0: 端口内部下拉电阻有效 ; 1: 端口内部下拉电阻无效 ; 6.3 端口模式控制 作为数字输出口时, 除 P13 固定为开漏输出外, 其余端口可选择推挽输出模式或开漏输出模式 端口输出模式寄存器 ODCON - - P15OD P14OD - P12OD P11OD P10OD R/W - - R/W R/W - R/W R/W R/W 初始值 BIT[5:4,2:0] P1nOD P1n 端口输出模式选择位 (n=5-4,2-0) 0: 输出时端口为推挽输出 ; 1: 输出时端口为开漏输出 ; 上海晟矽微电子股份有限公司 22/51

23 7 定时器 TIMER 7.1 看门狗定时器 WDT 看门狗定时器 WDT 的时钟源为内部低频 RC 振荡器, 可通过预分频器选择不同的计数时钟频率 WDT 计数器溢出将复位芯片或唤醒 CPU 可通过配置字 WDTM 和寄存器位 WDTEN 共同决定是否开启 WDT 当 WDTM 选择始终关闭或 WDTEN 为 0 时 WDT 定时器被关闭 ; 当 WDTM 选择始终开启且 WDTEN 为 1 时 WDT 定时器才开启 若 WDT 定时器开启, 则在休眠模式下 WDT 依然工作, 溢出时将唤醒 CPU; 而在 CPU 运行时 WDT 溢出, 则将复位芯片 WDT 和定时器 T0 共用一个预分频器, 并通过寄存器位决定预分频器的分配 当预分频器分配给 T0 时,WDT 时钟不分频 ; 而预分频器分配给 WDT 时,T0 时钟不分频 执行 CLRWDT 指令或 STOP 指令将清零 WDT 计数器, 若预分频器分配给 WDT, 则还将清零预分 频计数器 ( 预分频比不变 ) WDT 的基本溢出时间 ( 即无预分频的时间 ) 可配置为 4.5ms/18ms/72ms/288ms 注 :WDT 溢出时间为典型值, 实际值偏差大, 必须保证清 WDT 时间小于典型值的 1/4 杂项控制寄存器 MCR WDTEN EIS CMPOF R/W R/W R/W R 初始值 BIT[7] WDTEN 看门狗使能位 0: 关闭 WDT; 1: 开启 WDT; BIT[6] EIS 外部 INT 中断源使能位 0: INT 中断源无效, 端口用作其他功能 ; 1: INT 中断源有效, 端口需设为输入 ; BIT[5] CMPOF CMP 输出状态标志位 0: CMP 输出为低, 即其正端输入电压低于负端输入电压 ; 或 CMP 关闭 1: CMP 输出为高, 即其正端输入电压高于负端输入电压 ; 上海晟矽微电子股份有限公司 23/51

24 7.2 定时器 T0 定时器 T0 为 8 位定时 / 计数器, 包含 1 个 8 位递增计数器 可编程预分频器 控制寄存器 可通过预分频比设置计数频率 ; 时钟源可选 : 内部 FLOSC FCPU, 外部 TC0 输入时钟 ; 支持溢出中断和溢出唤醒功能 ; FLOSC FCPU TC0 T0CSE FLIRC WDTM WDTEN 0 1 T0PTS 1 0 T0TBS 0 1 T0PTA 分频器 /256 /4 /2 /1 T0PTA T0PRS 1 0 T0PTA 1 0 T0PTA T0CNT WDTCNT 溢出 T0IF WDT 溢出 8 位定时器 T0 及 WDT 示意图 T0CNT 为 8 位可读写的递增计数器, 计数溢出到 0 时产生溢出信号并触发中断, 中断标志位 T0IF 将被置 1 预分频器为 T0 与 WDT 共用, 通过寄存器位 T0PTA 控制预分频器的分配 T0PTA=0 时, 预分频器分配给 T0 使用 ;T0PTA=1 时, 预分频器分配给 WDT 使用 不管预分频器是否分配给 T0, 写 T0CNT 都将清零预分频计数器, 预分频比保持不变 T0 计数周期 = 预分频比 / T0 计数时钟频率 若系统时钟配置为双时钟模式, 则系统低频时钟一直有效, 此时可选择 FLOSC 作为 T0 时钟, 且在 低功耗模式下 T0 将继续工作, 溢出可唤醒 定时器 T0 控制寄存器 T0CR - INTM T0PTS T0CSE T0PTA T0PRS2 T0PRS1 T0PRS0 R/W - R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[6] INTM 外部中断 INT 触发方式选择位 0: 下降沿触发 ; 1: 上升沿触发 ; BIT[5] T0PTS T0 时钟源选择位 0: T0 时钟源为 FCPU; 1: T0 时钟源为 TC0 输入的外部时钟 ; BIT[4] T0CSE T0 脉冲计数有效沿选择位 0: 输入脉冲上升沿计数 ; 1: 输入脉冲下降沿计数 ; 上海晟矽微电子股份有限公司 24/51

25 BIT[3] T0PTA 预分频器分配控制位 0: 预分频器分配给 T0; 1: 预分频器分配给 WDT; BIT[2:0] T0PRS[2:0] T0/WDT 预分频比选择位 T0 时钟预分频比 T0PRS[2:0] (T0PTA=0) WDT 时钟预分频比 (T0PTA=1) 000 1:2 1: :4 1: :8 1: :16 1: :32 1: :64 1: :128 1: :256 1:128 TM0CR T0TBS T1IE T1IF R/W R/W R/W R/W 初始值 BIT[7] T0TBS T0 时钟源选择位 0: T0 时钟源由 T0PTS 决定 ; 1: T0 时钟源为系统低频时钟 FLOSC; BIT[1] T1IE 定时器 T1 中断使能位 0: 屏蔽定时器 T1 中断 ; 1: 使能定时器 T1 中断 ; BIT[0] T1IF 定时器 T1 中断标志位 0: 未发生定时器 T1 中断 ; 1: 发生定时器 T1 中断, 需软件清 0; 定时器 T0 计数器 T0CNT T0CNT7 T0CNT6 T0CNT5 T0CNT4 T0CNT3 T0CNT2 T0CNT1 T0CNT0 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 X X X X X X X X BIT[7:0] T0CNT[7:0] T0 计数器, 为可读写的递增计数器 上海晟矽微电子股份有限公司 25/51

26 7.3 定时器 T1 定时器 T1 为 8 位定时 / 计数器, 包含 1 个 8 位递减计数器 可编程预分频器 控制寄存器 8 位重载寄存器及 4 个 8 位比较寄存器 可通过预分频比设置计数频率, 可通过重载寄存器控制计数周期 ; 支持共周期 4 路 8 位 PWM 输出, 可通过对应的比较寄存器分别设置每路 PWM 占空比 ; 可组合成 2 对互反的带死区互补 PWM; 支持 BUZ 输出 ; 支持溢出中断和溢出唤醒功能 ; FCPU FOSC TC1 8 位定时器 T1 示意图 T1CKS 分频器 /128 /4 /2 /1 T1EN T1PRS T1DD_buf 溢出 T1DATD T1DC_buf 溢出 T1DATC T1DB_buf 溢出 T1DATB T1DA_buf 溢出 T1DATA T1CNT T1LOAD 溢出 比较器 PWM1DEN 比较器 PWM1CEN 比较器 PWM1BEN 比较器 /2 T1IF T1PWMD T1PWMC T1PWMB T1PWMA I/O 逻辑 BUZ1OE PWM1AEN T1BUZ 1 0 PWM1DOS 0 1 I/O PWM1MD 0 1 I/O PWM1MD 0 1 I/O PWM1AOS PWM1AEN PWM1DOE 1 PWM1D 0 PWM1COE 1 PWM1C 0 PWM1BOE 1 PWM1B 0 PWM1AOC 0 PWM1A 1 定时器 T1, 可通过寄存器位 T1CKS 选择时钟源, 通过 T1PRS 选择预分频比, 所选时钟源通过预分 频器后产生 T1 计数器 T1CNT 的计数时钟 预分频比可选择 1~128 分频, 对 T1CNT 的写操作将清零预 分频计数器, 而预分频比保持不变 当 T1EN=0 时,T1CNT 保持不变, 写重载寄存器 T1LOAD 将立即载入 T1CNT 中 ; 当 T1EN=1 时, T1CNT 递减计数, 计数到 0 的时钟结束后产生溢出信号并触发中断, 中断标志 T1IF 将被置 1, 同时 T1 自动将当前 T1LOAD 值载入 T1CNT 中重新开始计数 如图所示, 定时器 T1 可实现 BUZ 功能, 当 BUZ1OE=1 时, 端口允许输出蜂鸣器驱动信号, 频率 为 T1 溢出频率的 2 分频 如图所示, 定时器 T1 可实现共周期的 4 路 PWM 功能 (PWM1x,x=A,B,C,D, 下同 ), 且可分别设置每路 PWM 的占空比 可通过寄存器位使能 / 关闭 PWM, 或控制端口是否输出 PWM 波形 每个 PWM 周期内, 计数器 T1CNT 从重载值开始递减计数 : 当计数到与比较寄存器 T1DATx 相等时,T1PWMx 信号变为高电平 ; 当计数溢出时,T1PWMx 信号变为低电平 上海晟矽微电子股份有限公司 26/51

27 T1DATx 均配有 1 个 8 位的比较缓冲器 (T1Dx_buf) 用于与 T1CNT 比较,PWM1x 关闭时写 T1DATx 将立即载入比较缓冲器中, 而 PWM1x 使能后写 T1DATx 则将在 T1 溢出时才载入比较缓冲器中 若要 首个 PWM 周期和占空比准确, 需先写重载寄存器和比较寄存器, 再开启定时器和 PWM 功能 T1PWMx 信号 (x=a,b,c,d, 下同 ) 的占空比计算如下 : 高电平时间 =(T1DATx) T1CNT 计数时钟周期 周期 (T1 的溢出周期 )=(T1LOAD+1) T1CNT 计数时钟周期 占空比 = 高电平时间 / 周期 =(T1DATx)/(T1LOAD+1) 如图所示, 定时器 T1 可将 T1PWMB 和 T1PWMC 通过同或 / 异或逻辑控制后组合成 1 路带死区的 PWM, 并可从端口 PWM1C 输出且其反向波形可从端口 PWM1D 输出, 从而与端口 PWM1B 输出的 T1PWMA 组成 1 对带死区的互补 PWM, 且端口 PWM1A 可输出 T1PWMA 的反向波形, 因此端口 PWM1A /PWM1B/PWM1C/PWM1D 可输出 2 对互反的带死区互补 PWM 定时器 T1 控制寄存器 T1CR T1EN PWM1AEN BUZ1OE T1CKS1 T1CKS0 T1PRS2 T1PRS1 T1PRS0 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[7] T1EN 定时器 T1 使能位 0: 关闭定时器 T1; 1: 开启定时器 T1; BIT[6] PWM1AEN PWM1A 使能位 0: 关闭 PWM1A 功能 ; 1: 使能 PWM1A 功能 ; BIT[5] BUZ1OE BUZ1 端口输出使能位 0: 禁止端口输出 BUZ 波形 ; 1: 允许端口输出 BUZ 波形 ( 仅 PWM1AEN=0 且 PWM1AOE=0 时有效 ); BIT[4:3] T1CKS[1:0] T1 时钟源选择位 T1CKS[1:0] T1 时钟源 00 FCPU 01 FOSC 10 TC1 上升沿 11 TC1 下降沿 BIT[2:0] T1PRS[2:0] T1 预分频比选择位 T1PRS[2:0] T1 时钟预分频比 000 1: : :4 上海晟矽微电子股份有限公司 27/51

28 011 1: : : : :128 PWM1 控制寄存器 PWM1CR0 PWM1AOC PWM1BOE PWM1COE T1DBCLK PWM1MD PWM1LG PWM1BEN PWM1CEN R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[7] PWM1AOC PWM1A 端口输出控制位 0: 允许端口输出 PWM 波形 ; 1: 禁止端口输出 PWM 波形 ; BIT[6] PWM1BOE PWM1B 端口输出使能位 0: 禁止端口输出 PWM 波形 ; 1: 允许端口输出 PWM 波形 ; BIT[5] PWM1COE PWM1C 端口输出使能位 0: 禁止端口输出 PWM 波形 ; 1: 允许端口输出 PWM 波形 ; BIT[4] T1DBCLK T1 时钟倍频选择位 0: T1 时钟不倍频 ; 1: T1 时钟倍频 ( 仅 T1PRS=000 时有效 ); BIT[3] PWM1MD PWM1 输出模式控制位 0: P11 输出 T1PWMB 信号,P10 输出 T1PWMC 信号 ; 1: P11 输出 T1PWMA 信号,P10 输出 T1PWMB 和 T1PWMC 的组合逻辑信号 ; BIT[2] PWM1LG 组合逻辑控制位 0: 组合逻辑为 T1PWMB 与 T1PWMC 同或 ; 1: 组合逻辑为 T1PWMB 与 T1PWMC 异或 ; BIT[1] PWM1BEN PWM1B 使能位 0: 关闭 PWM1B 功能 ; 1: 使能 PWM1B 功能 ; BIT[0] PWM1CEN PWM1C 使能位 0: 关闭 PWM1C 功能 ; 1: 使能 PWM1C 功能 ; 上海晟矽微电子股份有限公司 28/51

29 PWM1CR1 PWM1AOS - - PWM1DOS - - PWM1DOE PWM1DEN R/W R/W - - R/W - - R/W R/W 初始值 BIT[7] PWM1AOS PWM1A 端口输出信号选择位 0: 输出 T1PWMA 信号 ; 1: 输出 T1PWMA 的反向信号 ; BIT[4] PWM1DOS PWM1D 端口输出信号选择位 0: 输出 T1PWMD 信号 ; 1: 输出 T1PWMB 和 T1PWMC 的组合逻辑反向信号 ; BIT[1] PWM1DOE PWM1D 端口输出使能位 0: 禁止端口输出 PWM 波形 ; 1: 允许端口输出 PWM 波形 ; BIT[0] PWM1DEN PWM1D 使能位 0: 关闭 PWM1D 功能 ; 1: 使能 PWM1D 功能 ; 定时器 T1 计数器 T1CNT T1CNT7 T1CNT6 T1CNT5 T1CNT4 T1CNT3 T1CNT2 T1CNT1 T1CNT0 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[7:0] T1CNT[7:0] T1 计数器, 为可读写的递减计数器 定时器 T1 重载寄存器 T1LOAD T1LOAD7 T1LOAD6 T1LOAD5 T1LOAD4 T1LOAD3 T1LOAD2 T1LOAD1 T1LOAD0 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[7:0] T1LOAD[7:0] T1 重载寄存器, 用于设置 T1 的计数周期 注 : 定时器重载寄存器的值禁止为 0, 否则定时器将无法正常工作 定时器 T1 比较寄存器 T1DATA T1DATA7 T1DATA6 T1DATA5 T1DATA4 T1DATA3 T1DATA2 T1DATA1 T1DATA0 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[7:0] T1DATA[7:0] T1 比较寄存器 A, 用于设置 PWM1A 的占空比 上海晟矽微电子股份有限公司 29/51

30 T1DATB T1DATB7 T1DATB6 T1DATB5 T1DATB4 T1DATB3 T1DATB2 T1DATB1 T1DATB0 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[7:0] T1DATB[7:0] T1 比较寄存器 B, 用于设置 PWM1B 的占空比 T1DATC T1DATC7 T1DATC6 T1DATC5 T1DATC4 T1DATC3 T1DATC2 T1DATC1 T1DATC0 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[7:0] T1DATC[7:0] T1 比较寄存器 C, 用于设置 PWM1C 的占空比 T1DATD T1DATD7 T1DATD6 T1DATD5 T1DATD4 T1DATD3 T1DATD2 T1DATD1 T1DATD0 R/W R/W R/W R/W R/W R/W R/W R/W R/W 初始值 BIT[7:0] T1DATD[7:0] T1 比较寄存器 D, 用于设置 PWM1D 的占空比 上海晟矽微电子股份有限公司 30/51

31 8 比较器 CMP 和电压检测 EVD 8.1 CMP 概述 芯片内置 1 个模拟比较器 CMP, 包括内部参考电压 VIR(0.5V) 生成电路和内部电阻分压电路 输入共模 0 ~(VDD-1.4V), 输出无回滞 ; 正端输入可选择外部输入电压, 或内部参考电压 VIR(0.5V); 负端输入可选择外部输入电压, 或外部输入电压 /VDD 的内部分压电压 ; 输出端电平可选上升或下降沿触发中断, 可从端口输出且支持输出取反 ; 可实现 VDD 或外部输入的电压检测功能 ; EVN CMPIF EVN1 EVN2 VDD VIR(0.5V) 0 CMPPS CMPIM CMPOF CMPN EVDIS EVDVS GND 0 1 CMPNS CMPEN I/O 0 1 CMPNV 0 CMPO 1 CMPOE CMP 可实现 2 路外部输入电压 EVN0 与 CMPN 之间的比较, 也可实现外部输入电压 CMPN 与内部 参考电压 VIR 的比较, 比较结果可通过寄存器位 CMPOF 读取 CMP 输出端电平可通过 CMPIM 选择上 升或下降沿触发中断, 并可通过 CMPOE 选择是否从端口输出 CMP 还可实现 VDD 或外部输入的电压检测 (EVD) 功能 通过寄存器位 EVDVS 选择电压检测量 值, 实际是选择内部分压电阻的分压比例, 分压后的电压与内部参考电压 VIR 的比较结果, 即为 VDD 或外部输入电压与电压检测量值的比较结果, 从而实现电压检测功能 注 : 1 使能比较器 切换外部输入通道等操作后, 需待电路稳定 ( 时间 >200us) 后 CMP 输出才有效 ; 2 比较器支持无回滞输出, 此时 CMP 输出受外部电路影响较大, 应针对实际应用进行软件去抖处理 ; 3 内部分压电路采用串联电阻分压方式, 即按照电压检测量值换算出的电阻分压比, 从 600 个 3KΩ 电阻的串联电路中抽取对应的分压信号, 因此对外部输入进行电压检测时, 需确保外部电路不会影响内部电阻分压比 ; 4 CMP 开启后, 选择外部输入将自动关闭相应端口的数字 I/O 功能, 使能端口输出则相应端口自动为数字输出口 ; 而 CMP 关闭 切换外部输入 禁止端口输出等操作则自动恢复端口此前的数字 I/O 状态 ; 上海晟矽微电子股份有限公司 31/51

32 8.2 CMP 相关寄存器 CMP 控制寄存器 CMPCR0 CMPEN CMPPS CMPNS EVDIS1 EVDIS0 - CMPNV CMPOE R/W R/W R/W R/W R/W R/W - R/W R/W 初始值 BIT[7] CMPEN 比较器 CMP 使能位 0: 关闭 CMP; 1: 开启 CMP; BIT[6] CMPPS CMP 正端输入选择位 0: 选择内部参考电压 VIR; 1: 选择端口 EVN0 输入电压 ; BIT[5] CMPNS CMP 负端输入选择位 0: 选择内部电阻分压电压 ; 1: 选择端口 CMPN 输入电压 ; BIT[4:3] EVDIS[1:0] EVD 电压检测输入选择位 EVDIS[1:0] EVD 电压检测输入 00 VDD 01 EVN0 10 EVN1 11 EVN2 BIT[1] CMPNV CMPO 端口输出取反控制位 0: 端口输出 CMP 输出端的正向电平 ; 1: 端口对 CMP 输出端的电平取反后输出 ; BIT[0] CMPOE CMPO 端口输出使能位 0: 禁止端口输出 CMP 输出端电平 ; 1: 允许端口输出 CMP 输出端电平 ; CMPCR1 - - EVDVS5 EVDVS4 EVDVS3 EVDVS2 EVDVS1 EVDVS0 R/W - - R/W R/W R/W R/W R/W R/W 初始值 BIT[5:0] EVDVS[5:0] EVD 电压检测量值选择位 EVDVS [5:0] 检测值 (V) 电阻分压比 EVDVS [5:0] 检测值 (V) 电阻分压比 EVDVS [5:0] 检测值 (V) 电阻分压比 EVDVS [5:0] 检测值 (V) 电阻分压比 / / / / / / / /600 上海晟矽微电子股份有限公司 32/51

33 / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / /600 CMPCR CMPIM CMPIE CMPIF R/W R/W R/W R/W 初始值 BIT[2] CMPIM CMP 中断触发方式选择位 0: CMP 输出上升沿触发 ; 1: CMP 输出下降沿触发 ; BIT[1] CMPIE CMP 中断使能位 0: 屏蔽 CMP 中断 ; 1: 使能 CMP 中断 ; BIT[0] CMPIF CMP 中断标志位 0: 未触发 CMP 中断 ; 1: 已触发 CMP 中断, 需软件清 0; 上海晟矽微电子股份有限公司 33/51

34 9 中断 芯片的中断源包括外部中断 (INT) 定时器中断 (T0~T1) 比较器 CMP 中断和键盘中断等 可通 过中断总使能位 GIE 屏蔽所有中断 CPU 响应中断的过程如下 : CPU 响应中断源触发的中断请求时, 自动将当前指令的下一条要执行指令的地址压栈保存, 自动清 0 中断总使能位 GIE 以暂停响应后续中断 与复位不同, 硬件中断不停止当前指令的执行, 而是暂时挂起中断直到当前指令执行完成 CPU 响应中断后, 程序跳到中断入口地址 (0008H) 开始执行中断服务程序, 中断服务程序应先保存累加器 A 和状态寄存器 STATUS, 然后处理被触发的中断 中断服务程序处理完中断后, 应先恢复累加器 A 和状态寄存器 STATUS, 然后执行 RETIE 返回主程序 此时芯片将自动恢复 GIE 为 1, 然后从堆栈取出 PC 值, 从中断产生时当前指令的下一条指令继续执行 注 : 要使用外部中断功能或键盘中断功能, 需将相应端口设为输入状态 9.1 外部中断 芯片有 1 路外部中断源 INT, 可通过 INTM 选择上升沿或下降沿等触发方式 外部中断触发时, 中 断标志 INTIF 将被置 1, 若中断总使能位 GIE 为 1 且外部中断使能位 INTIE 为 1, 则产生外部中断 9.2 定时器中断 定时器 Tn(n=0-1) 在计数溢出时触发定时器中断, 中断标志 TnIF(n=0-1) 将被置 1, 若中断总使 能位 GIE 为 1 且定时器中断使能位 TnIE(n=0-1) 为 1, 则产生定时器中断 9.3 CMP 中断 比较器 CMP 的输出可选择上升沿或下降沿等方式触发 CMP 中断, 中断标志 CMPIF 将被置 1, 若 中断总使能位 GIE 为 1 且 CMP 中断使能位 CMPIE 为 1, 则产生 CMP 中断 上海晟矽微电子股份有限公司 34/51

35 9.4 键盘中断 芯片有 6 路键盘中断源, 均可通过寄存器位单独使能或屏蔽, 任意一路使能的中断源的输入电平发生变化时, 均会触发键盘中断, 中断标志 KBIF 将被置 1, 若中断总使能位 GIE 为 1 且键盘中断使能位 KBIE 为 1, 则产生键盘中断 键盘中断控制寄存器 P1KBCR - - P15KE P14KE P13KE P12KE P11KE P10KE R/W - - R/W R/W R/W R/W R/W R/W 初始值 BIT[5:0] P1nKE P1n 端口键盘中断使能位 (n=5-0) 0: 屏蔽端口键盘中断功能 ; 1: 使能端口键盘中断功能 ; 9.5 中断相关寄存器 中断使能寄存器 INTE GIE INTIE KBIE T0IE R/W R/W R/W R/W R/W 初始值 BIT[7] GIE 中断总使能位 0: 屏蔽所有中断 ; 1: 由相应的中断使能位决定 CPU 是否响应中断源所触发的中断 ; BIT[2] INTIE INT 中断使能位 0: 屏蔽 INT 中断 ; 1: 使能 INT 中断 ; BIT[1] KBIE 键盘中断使能位 0: 屏蔽键盘中断 ; 1: 使能键盘中断 ; BIT[0] T0IE 定时器 T0 中断使能位 0: 屏蔽定时器 T0 中断 ; 1: 使能定时器 T0 中断 ; 上海晟矽微电子股份有限公司 35/51

36 中断标志寄存器 INTF INTIF KBIF T0IF R/W R/W R/W R/W 初始值 BIT[2] INTIF INT 中断标志位 0: 未触发 INT 中断 ; 1: 已触发 INT 中断, 需软件清 0; BIT[1] KBIF 键盘中断标志位 0: 未触发键盘中断 ; 1: 已触发键盘中断, 需软件清 0; BIT[0] T0IF 定时器 T0 中断标志位 0: 未触发定时器 T0 中断 ; 1: 已触发定时器 T0 中断, 需软件清 0; 上海晟矽微电子股份有限公司 36/51

37 10 特性曲线 注 : 1 特性曲线图中数据均来自抽样实测, 仅作为应用参考, 部分数据因生产工艺偏差, 可能与实际芯片不符 ; 为保证芯片能正常工作, 请确保其工作条件符合电气特性参数说明 ; 2 若图文中无特别说明, 则电压特性曲线的温度条件为 T=25, 温度特性曲线的电压条件为 VDD=5V; 10.1 I/O 特性 输入 SMT 阈值电压 VS 电源电压 上海晟矽微电子股份有限公司 37/51

38 I/O 输出驱动电流 VS 端口电压 (VDD=5V) 上海晟矽微电子股份有限公司 38/51

39 上 / 下拉电阻值 VS 电源电压 上海晟矽微电子股份有限公司 39/51

40 10.2 功耗特性 运行模式功耗 VS 电源电压 上海晟矽微电子股份有限公司 40/51

41 上海晟矽微电子股份有限公司 41/51

42 上海晟矽微电子股份有限公司 42/51

43 上海晟矽微电子股份有限公司 43/51

44 休眠模式功耗 VS 电源电压 上海晟矽微电子股份有限公司 44/51

45 10.3 模拟电路特性 HIRC 频率 VS 电源电压 / 温度 上海晟矽微电子股份有限公司 45/51

46 LIRC 频率 VS 电源电压 XTAL 起振 / 维持电压 VS 温度 上海晟矽微电子股份有限公司 46/51

47 上海晟矽微电子股份有限公司 47/51

48 VIR 电压 VS 电源电压 / 温度 上海晟矽微电子股份有限公司 48/51

49 11 封装尺寸 11.1 SOP DIP8 上海晟矽微电子股份有限公司 49/51

50 11.3 SOT23-6 上海晟矽微电子股份有限公司 50/51

51 12 修订记录 版本修订日期修订内容 V 初版发布 ; 上海晟矽微电子股份有限公司 51/51

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU 及

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU 及 SinoMCU 8 位单片机 MC30P6070 用户手册 V1.4 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 本文档的更改, 恕不另行通知 目录 1 产品概要... 4 1.1 产品特性... 4 1.2 芯片模式... 5 1.3 订购信息... 5 1.4

More information

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU SinoMCU 8 位单片机 MC30P6060 用户手册 V1.7 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 本文档的更改, 恕不另行通知 目录 1 产品概要... 4 1.1 产品特性... 4 1.2 芯片模式... 5 1.3 订购信息... 5 1.4

More information

规格书

规格书 MC30P6070 用户手册 SinoMCU 8 位单片机 2016/5/31 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 订购信息... 5 1.3 系统框图...

More information

规格书

规格书 MC31P5120 用户手册 ( 原产品名 MC31P11) SinoMCU 8 位单片机 2018/01/12 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 系统框图...

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 M8Rxxx 指令集说明 Version 1.04 2014 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 修正记录 版本 日期 描述 Ver1.02 2014-5-15 补全指令说明 Ver1.03 2014-10-8 勘误 Ver1.04

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

MC32P7511用户手册V1.0

MC32P7511用户手册V1.0 SinoMCU 8 位单片机 2015/11/03 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 引脚排列... 6 1.3 引脚说明... 7 2 中央处理器... 8

More information

规格书

规格书 MC32P5213 用户手册 ( 原产品名 MC33P78) SinoMCU 8 位单片机 2014/03/10 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 系统框图...

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

规格书

规格书 8BIT I/O 型 OTP MCU Version 1.05 2018 年 9 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 数据手册的更改, 恕不另行通知 本公司不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 本公司的产品不是专门设计来应用于外科植入 生命维持和任何本公司产品的故障会对个体造成伤害甚至死亡的领域

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

MC30P01用户手册V1.0

MC30P01用户手册V1.0 WUHAN ESHINE TECHNOLOGY CO., LTD 用户手册 V1.0 CJC-IC 8 位单片机 1 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 系统框图... 5 1.3 引脚排列... 6 1.4 引脚说明... 7 1.5 引脚结构示意图... 7 2 中央处理器... 11 2.1 指令集... 11 2.2 程序存储器 ROM... 11 2.3 用户数据存储器

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

EPROM/ROM-Based 8-Bit Microcontroller Series

EPROM/ROM-Based 8-Bit Microcontroller Series 这份文档里包含下列型号 : EPROM device(opt) EPROM/ROM-Based 8-Bit Microcontroller Series 功能特性 只有 42 个单字指令 除分支指令为两个周期指令以外其余为单周期指令 PC 寻址范围为 13-bit GOTO 指令能跳转到所有的 ROM/EPROM 地址空间 子程序能返回到所有的 ROM/EPROM 地址空间 能处理 8 位数据 5

More information

33023A.book(31005A_cn.fm)

33023A.book(31005A_cn.fm) 第 5 章 CPU 和 ALU 目录 本章包括下面一些主要内容 : 5. 简介...5-2 5.2 指令的一般格式... 5-4 5.3 中央处理单元 (CPU)... 5-4 5.4 指令时钟... 5-4 5.5 算术逻辑单元 (ALU)... 5-5 5.6 状态寄存器... 5-6 5.7 OPTION_REG 寄存器... 5-8 5.8 电源控制寄存器... 5-9 5.9 设计技巧...

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

HS2303-P

HS2303-P HS9100-T 产品说明书 Ver 4.00L 1. 概述 HS9100-T 是一款低成本 高速度 高抗干扰的 8 位 CMOS 单片机 基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令 内部集成有 1K*13-bit 的一次性可编程只读电存储器 (OTP-ROM) 上电复位(POR) 欠压复位 (BOR 1.6V) 定时器 TMR0 看门狗定时器

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

QG153中文版说明书.docx

QG153中文版说明书.docx EPROM/ROM-Based 8-Bit Microcontroller Series 第 1 页 目录 目录...2 1.0 注意事项...4 2.0 功能特性...5 3.0 概述...6 4.0 结构图...6 5.0 管脚图...7 5.1 管脚功能描述......7 6.0 存储器结构...8 6.1 程序存储器...8 6.2 数据存储器...9 7.0 功能介绍...11 7.1 寄存器操作...11

More information

OTP-Based 8-Bit Microcontroller Series

OTP-Based 8-Bit Microcontroller Series 苏州锋驰微电子有限公司 OTP-Based 8-Bit Microcontroller Series FC151 SZFC 2017/6/19 功能特色 : 苏州锋驰微电子有限公司 SUZHOU FENGCHI ELECTRONIC CO.:LTD *PB3 口软件可控上拉 * 硬件控制端口强弱驱动电流 修正记录 版本号日期内容 V10 V20 V30 2016 年 6 月 2017 年 7 月 2017

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

BJ2C004ANB

BJ2C004ANB BJ2C004A 规 格 书 (v1.0) 1 目录 1. 概叙... 4 2. 功能特性... 4 3. 功能框图...5 4. 管脚图... 5 4.1 管脚功能描述...6 5 存储器结构... 7 5.1 程序存储器...7 5.2 数据存储器... 7 6 功能介绍... 9 6.1 寄存器操作... 9 6.1.1 INDF ( 间接寻址寄存器 )... 9 6.1.2 TMR0 ( 定时

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

OTP-Based 8-Bit Microcontroller Series

OTP-Based 8-Bit Microcontroller Series 苏州锋驰微电子有限公司 OTP-Based 8-Bit Microcontroller Series FC162 SZFC 2017/5/26 功能特色 : 苏州锋驰微电子有限公司 SUZHOU FENGCHI ELECTRONIC CO.:LTD *PB3 口软件可控上拉,PB3 可做标准 IO 口 *4 级硬件控制端口强弱驱动电流 修正记录 版本号日期内容 V10 V20 2016 年 6 月

More information

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer MT90P0 4-Bit RISC MCU 使用及注意事项 MT90P0 4-bit RISC MCU PIN MAP: SOT-26 PB0 6 PB3 VSS 2 5 V PB 3 4 PB2/RTCC 2 IC 烧入注意事项 : A 需制作转接座 : Writer PIN MAP: V NC PB3 PB2 VSS PB PB0 NC ( 底 ) B 需更改 Writer Firmware WM0

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

DESCRIPTION

DESCRIPTION 8Bit CMOS OTP MCU 器件特性 RISC CPU: 仅需 35 条指令大部分指令仅需一个时钟周期存储器 1K x14 OTP ROM 48 Byte RAM 4 级堆栈时钟系统内置振荡 : Max: 4MHz ±2%.(3.3~5.5V) Max: 2MHz±2%.(2.4~3.3V) RC 振荡 : 外部晶体振荡 : IO 引脚配置输入输出双向 IO 口 : RA RC 单向输入引脚

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - MC32P7311_UMAN_V2.0

Microsoft Word - MC32P7311_UMAN_V2.0 用户户手手册 V2. SinoMC CU 8 位单片机 27//7 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留留对产品在可可靠性 功能和和设计方面的的改进作进一步说明的权利用户手册的的更改, 恕不不另行通知 3 用户手手册 V2. 目录 产品简简介... 4. 产品特性... 4.2 订购信息... 6.3 系统框图...

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 1K 一次性编程, 10 位 ADC 型 4 位单片机 特性 基于 SH6610C, 10 位 ADC 型 4 位单片机 OTP ROM: 1K X 16 位 RAM: 124 X 4 位 - 28 系统控制寄存器 - 96 数据存储器 工作电压 : - fosc = 16MHz, VDD = 3.3V - 5.5V 6 个双向 I/O 端口 4 层堆栈 ( 包括中断 ) 一个 8 位自动重载定时

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

PT8M2101A 规格书 目录 1. 产品概述 主要特性 系统框图 管脚配置 中央处理器 指令集 程序存储器 ROM 数据存储器 RAM CPU 核相关寄存器..

PT8M2101A 规格书 目录 1. 产品概述 主要特性 系统框图 管脚配置 中央处理器 指令集 程序存储器 ROM 数据存储器 RAM CPU 核相关寄存器.. 目录 1. 产品概述... 2 2. 主要特性... 2 3. 系统框图... 3 4. 管脚配置... 4 5. 中央处理器... 5 5.1 指令集... 5 5.2 程序存储器 ROM... 6 5.3 数据存储器 RAM... 7 5.4 CPU 核相关寄存器... 8 5.5 SFR... 10 5.6 配置选项... 11 6. 功能模块... 12 6.1 时钟描述... 12 6.2

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

目录 1 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 存储器 程序存储器 (OTP ROM) 通用数据存储器 (RAM) 特殊功

目录 1 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 存储器 程序存储器 (OTP ROM) 通用数据存储器 (RAM) 特殊功 SQ015L 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1 产品简介... 5 1.1 功能特性... 5 1.2 引脚图... 6 1.3 引脚描述... 7 2 中央处理器 (CPU)... 9 2.1 存储器... 9 2.1.1 程序存储器 (OTP ROM)... 9 2.1.2 通用数据存储器 (RAM)... 12 2.1.3 特殊功能寄存器 (SFR)...

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 1. 介绍 Application Notes EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 * 周期, 其余为单指令周期 ( 部分第一代芯片 JMP CALL RET RETL RETI JBS JBC JZ JZA DJZ DJZA 指令为 2

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

33023A.book(31031A_cn.fm)

33023A.book(31031A_cn.fm) 31 第 31 章器件特性 器件特性 目录 本章包括以下一些主要内容 : 31.1 简介... 31-2 31.2 特性和电气规范... 31-2 31.3 DC 和 AC 特性图表... 31-2 31.4 版本历史... 31-22 24 Microchip Technology Inc. DS3131A_CN 第 31-1 页 PICmicro 中档单片机系列 31.1 简介 Microchip

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 大功率 高细分两相混合式 步进电机芯片式驱动器 一 特性 双全桥 MOSFET 驱动, 低导通电阻 Ron=0.4Ω( 上桥 + 下桥 ) 最高最耐压 50VDC, 峰值电流 4.5A ; 工作电压 42V, 工作电流 3.5A 多种细分可选 (1/2 1/8 1/10 1/16 1/20 1/32 1/40 1/64) 自动半流锁定功能 衰减方式连续可调 内置温度保护及过流保护 重量 : 9.86

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

QG701中文版说明书.docx

QG701中文版说明书.docx OTP-Based 8-Bit Microcontroller Series 第 1 页 目录 目录...2 1.0 功能特色...5 2.0 功能特性...6 3.0 概述...7 4.0 结构图...7 5.0 管脚图...8 5.1 管脚功能描述......8 6.0 存储器结构...9 6.1 程序存储器...9 6.2 数据存储器...10 7.0 功能介绍...12 7.1 寄存器操作...12

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Microsoft Word - CSU8RP3119移动电源方案.doc

Microsoft Word - CSU8RP3119移动电源方案.doc 文件编码 :APN-CSU-P-3119-001 单芯片移动电源方案手册 REV 1.0.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 7 页 版本历史 历史版本. 修改内容 版本日期

More information



 8 位微控制器 ET18F11/11 数据手册 深圳市鹏锦科技有限公司 联系电话 :07828600 手机 :1820771884 传真 :07212178 联系人 : 何颖 QQ:6700001 深圳市福田区振华路家乐大厦 4 楼 B 公司网址 :www.pj212178.com 产品订购信息 型号 FLS RM 封装 ET18F11 1KX1 64B SOIC14 目录 1 概述...4 2 芯片特征...4

More information

Microsoft Word - 8-Bit通用IO型单片机20P01_V01

Microsoft Word - 8-Bit通用IO型单片机20P01_V01 8-Bit 通用 IO 型单片机 20P01 版本 :V01 日期 :2012/04/18 www.greenmcu.com 目录 目录 第 1 章简述... 1 1.1 产品简介... 1 1.1.1 产品特性... 1 1.1.2 系统框图... 2 1.1.3 引脚排列... 3 1.1.4 引脚说明... 3 1.1.5 引脚结构示意图... 4 第 2 章系统... 6 2.1 中央处理器...

More information

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc EM78P156K 8 位 OTP 微控制器 产品规格书 版本 1.3 义隆电子股份有限公司 2012.07 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

SH69P848A 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 个数据存储器 工作电压 : - f OSC = 30kH

SH69P848A 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 个数据存储器 工作电压 : - f OSC = 30kH 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 - 192 个数据存储器 工作电压 : - f OSC = 30kHz - 4MHz,V DD = 2.4V - 5.5V - f OSC = 4MHz - 10MHz,V DD = 4.5V

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Cost_Effective C Handbook

Cost_Effective C Handbook HT8 MCU 看门狗 (WDT) 应用范例 防止死机 文件编码 :AN0426S 简介 Holtek Flash MCU 提供了一个功能单元 WDT (Watch Dog Timer) 看门狗定时器, 其最主要的功能是避免程序因不可预期的因素 ( 如 : 电路噪声 ) 造成系统长时间的瘫痪 ( 如 : 跳至死循环或未知地址造成无法预测的结果 ) 功能说明 Holtek 新推出的 MCU 已无 2

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

MPW 项目简介

MPW 项目简介 概述 TM1621 是内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 功能特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

PT8M2301A 规格书 目 录 1. 产品概述 主要特性 系统框图 管脚配置 中央处理器 指令集 程序存储器 ROM 数据存储器 RAM CPU 核相关寄存

PT8M2301A 规格书 目 录 1. 产品概述 主要特性 系统框图 管脚配置 中央处理器 指令集 程序存储器 ROM 数据存储器 RAM CPU 核相关寄存 目 录 1. 产品概述... 2 2. 主要特性... 2 3. 系统框图... 4 4. 管脚配置... 5 5. 中央处理器... 8 5.1 指令集... 8 5.2 程序存储器 ROM... 10 5.3 数据存储器 RAM... 11 5.4 CPU 核相关寄存器... 12 5.5 SFR... 13 5.6 配置选项... 15 6. 功能模块... 17 6.1 时钟描述... 17

More information

70046d_cn.book(70055c_cn.fm)

70046d_cn.book(70055c_cn.fm) 第 8 章复位 目录 本章包括下列主题 : 8.1 简介...8-2 8.2 复位时的时钟源选择... 8-5 8.3 POR: 上电复位... 8-5 8.4 外部复位 (EXTR)... 8-7 8.5 软件复位指令 (SWR)... 8-7 8.6 看门狗超时复位 (WDTR)... 8-7 8.7 欠压复位 (BOR)... 8-8 8.8 使用 RCON 状态位... 8-10 8.9 器件复位时间...

More information

规格书

规格书 8BIT I/O+LCD 型 OTP MCU Version 1.24 2018 年 8 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 数据手册的更改, 恕不另行通知 本公司不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 本公司的产品不是专门设计来应用于外科植入

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073> 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

Microsoft Word - TM1621.doc

Microsoft Word - TM1621.doc 概述 TM1621 是 128 点 内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 :LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

SC91F711v1.2

SC91F711v1.2 ADC 型宽电压 1T 8051 内核 8 脚 Flash MCU 目录 目录... 1 1 总体描述... 4 2 主要特色... 4 3 管脚定义... 5 3.1 管脚配置... 5 3.2 管脚定义... 5 4 内部方框图... 7 5 FLASH ROM 和 SRAM 结构... 8 5.1 flash rom... 8 5.2 Code Option 区域 ( 用户烧写设置 )...

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

SM2965

SM2965 产品清单 SM2965C40, 主频 40MHz, 内带 64KB 闪存的 MCU 总体描述 SM2965 系列产品是一种内嵌 64KB 闪存和 1K 字节 RAM 的 8 位单片微控制器它是 80C52 微控制器家族的派生产品具有在系统可编程 (ISP) 功能其 PDIP 封装具有 32 个 I/O 口而 PLCC/QFP 封装则具有多达 36 个 I/O 口 64K 字节的闪存既可以当作程序空间又可以当作数据空间或者数据和程序混合空间其硬件特征和强大的指令系统使它成为一种性能价格比高的控制器片上闪存的编程可以使用商用编程器进行并行编程也可以根据其

More information

HS2303-P

HS2303-P 苏州华芯微电子股份有限公司 HuaXin Micro-electronics Co., Ltd HS26P00 单片机 参考手册 目录 1. 概述...1 2. 特征...1 3. 管脚信息...2 3.1 管脚图...2 3.2 管脚说明...3 4. 系统框图...4 5. 存储器结构...5 5.1 程序存储器...5 5.2 数据存储器...6 6. 操作寄存器...7 6.1 PCL( 程序计数器

More information

Microsoft Word - sim48x050608_1v151.doc

Microsoft Word - sim48x050608_1v151.doc 经济型输入 / 输出八位单片机 盛群知识产权政策 专利权 盛群半导体公司在全球各地区已核准和申请中之专利权至少有 16 件以上, 享有绝对之合法权益 与盛群公司 MCU 或其它产品有关的专利权并未被同意授权使用, 任何经由不当手段侵害盛群公司专利权之公司 组织或个人, 盛群将采取一切可能的法律行动, 遏止侵权者不当的侵权行为, 并追讨盛群公司因侵权行为所受之损失 或侵权者所得之不法利益 商标权 盛群之名称和标识

More information

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器...

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器... HT48R002/HT48R003 版本 : V1.11 日期 : 目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构... 11 时序和流水线结构... 11 程序计数器... 12 堆栈... 12 算术逻辑单元

More information

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分 带显示驱动 I C 和按键的单差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,9 条指令,6 级堆栈, 在.08MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为 7.6uA, 休眠模式电流小于 ua 6k Byts OTP 程序存储器,5

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Applications

Applications 概述 FM1905 是 24 点 内存映象和多功能的 LCD 驱动器,FM1905 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 FM1905 的管脚只有 3 条,FM1905 还有一个节电命令用于降 低系统功耗 特点 工作电压 3.0 ~5.0V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

一、

一、 TC78P153 ( 文件编号 :S&CIC0994) 1. 概括描述 TC78P153 是采用低功耗高速 CMOS 工艺设计开发的 8 位微控制器 其内部有 1024*13 位一次性可编程只读存储器 (OTP-ROM) 它提供一个保护用于防止用户在 OTP-ROM 中的程序被盗取 ; 拥有 15 个代码选项位以满足用户定制代码功能的需要 利用其 OTP-ROM 特性,TC78P153 可以使用户方便的开发和校验程序.

More information

文件名

文件名 MICROCHIP PIC10F200/202/204/206 6 8 FLASH PIC10F200 PIC10F202 PIC10F204 PIC10F206 RISC CPU 33 12 8 8 4MHz 1 s 4MHz 1% TM ICSP TM ICD POR DRT WDT RC MCLR I/O /CMOS < 350 A @ 2V 4 MHz 100 na @ 2V FLASH 10000

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

33023A.book(31006A_cn.fm)

33023A.book(31006A_cn.fm) 6 第 6 章存储器构成 存储器构成 目录 本章包括下面一些主要内容 : 6.1 简介...6-2 6.2 程序存储器构成... 6-2 6.3 数据存储器构成... 6-8 6.4 初始化... 6-14 6.5 设计技巧... 6-16 6.6 相关应用笔记... 6-17 6.7 版本历史... 6-18 2004 Microchip Technology Inc. DS31006A_CN 第

More information

管脚配置 底板插口配置 芯片大小 (mil) 2-2 -

管脚配置 底板插口配置 芯片大小 (mil) 2-2 - HOLTEK HT1621 LCD 驱动器 特性 * 工作电压 2.4 5.2V * 内嵌 256KHz RC 振荡器 * 可外接 32KHz 晶片或 256KHz 频率源输入 * 可选 1/2 或 1/3 偏压和 1/2 1/3 或 1/4 的占空比 * 片内时基频率源 * 蜂鸣器可选择两种频率 * 节电命令可用于减少功耗 * 内嵌时基发生器和看门狗定时器 WDT * 时基或看门狗定时器溢出输出

More information

Microsoft Word - ET6621.doc

Microsoft Word - ET6621.doc Etek Microelectronics ET6621 LCD 控制驱动电路 概述 ET6621 是用来对 MCU 的 I/O 口进行扩展的外围设备 显示矩阵为 32 4, 是一个 128 点阵式存储器映射多功能 LCD 驱动电路 ET6621 的软件特性使它很适合应用于 LCD 显示, 包括 LCD 模块和显示子系统 在主控制器和 ET6621 之间的接口应用只需要 3 或 4 个端口 Power

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

Microsoft Word - simBS83Bxx-3v120.doc

Microsoft Word - simBS83Bxx-3v120.doc 技术相关信息 应用范例 HA0075S MCU 复位电路及振荡电路应用 特性 CPU 特性 工作电压 : f SYS = 8MHz:V LVR ~5.5V f SYS = 2MHz:2.7V~5.5V f SYS = 6MHz:4.5V~5.5V 集成 8/2/6 触摸按键功能 -- 不需要增加外接元件 暂停和唤醒功能, 以降低功耗 集成高 / 低速振荡器低速 -- 32kHz 高速 -- 8MHz,2MHz,6MHz

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 低功耗实时时钟芯片 (RTC)BL5372 1. 概述 BL5372 是一款低功耗实时时钟电路, 通过 I 2 C 两线接口电路可以与 CPU 实时通信, 主要用于一切需要提供时基的系统中 该芯片能够产生多种周期性中断脉冲 ( 最长周期可长达 1 个月 ), 还具有两套报时系统 BL5372 内部集成一低功耗的稳压电源, 故能够使恶劣的环境条件下仍能保持振荡器正常在很低的功耗工作 ( 典型值 :400nA@3.6V)

More information