高性能低功耗数据转换 李福乐 清华大学微电子所

Size: px
Start display at page:

Download "高性能低功耗数据转换 李福乐 清华大学微电子所"

Transcription

1 高性能低功耗数据转换 李福乐 清华大学微电子所

2 研究必要性 数据转换器是高端电子系统的核心器件 宽带移动通信 (3G LTE) 仪器仪表 雷达系统 视频监控 典型技术特征 高性能 :>=12bit, >=500MSps 低功耗 :FOM<200fJ/Conv. 国外技术封锁 产品垄断 对高性能芯片实施禁运限制 畸高的芯片售价和 IP 授权费用 国内反向为主, 在商用上存在知识产权问题 对策 高性能数据转换器市场持续扩大 中国已是世界最大的移动通信设备制造商和市场 高端核心芯片制约系统开发与升级 以正向研究为主 就共性技术问题开展研究, 提高研发水平和自主创新能力 研发高端芯片和 IP 核, 支持高端系统需求 2

3 研究现状与趋势 典型产品与学术研究成果 : (12~14bit) 出处 SNDR(dB) SFDR(dBc) 采样率 (MHz) AD @100M ADS @100M ADS @130M ADS @100M CICC @100M ISSCC @140M ASSC @149M 待发表 @100M 高性能 低功耗模数转换 采用先进工艺, 实现极限性能 在保持高性能的前提下, 优化功耗设计 低功耗的架构研究 低功耗的电路设计技术 数字辅助技术 功耗 (mw) 本组成果 ADI

4 应用驱动 模拟器件与数字器件成本功耗变化图 新应用对动态范围和带宽的需求示意图 新应用数据转换器 : 高带宽 大动态范围 低功耗 提高集成 (AFE) 集成高速接口 系统需求推动高端转换器研究开发 4

5 Example: Digital Receiver 基带转换 中频转换 射频转换基带转换 : 转换器要求低, 通道失配中频转换 : 数字中频, 无失配, 可配置转换器具有欠采样能力射频转换 : 更少模拟, 更多数字要求 GHz 的转换器

6 Example: Direction Finding System 14bit, 80M ADC 系统需求 : 多通道集成 /ADC+DSP 结构 / 宽带 低功耗转换器

7 Example: Radar Signal Processing System 系统需求 : 多通道集成 /ADC+DSP 结构 / 宽带 低功耗转换器

8 模数转换器设计 Vi S/H Vi(n) 量化器 N-bit Do(n) fck Vr 从 Vi 到 Vi(n): 时钟电路参考电路 设计课题 : 1) 选择合适的工艺和架构 2) 单元电路的设计优化 精度? 噪声匹配线性 Size Power Distortion Calibration SNR SFDR SNDR

9 设计考虑 : 工艺 单片器件 BiCMOS 易实现片上高性能输入 buffer 易实现高增益高速运算放大器 成本较高 CMOS 通常需要高性能的片外输入 buffer 0.18um 是综合考虑成本 速度 精度的最佳选择 高性能低功耗需求促使设计向先进工艺转移 (65nm) ISSCC2014: 14b, 1G ADC in 65nm CMOS IP Advanced CMOS technology 130nm, 65nm, 40nm 考虑 SOC 集成需要 兼容标准逻辑工艺 (MOM 电容 ) OPA-Less 低压结构 BiCMOS: ISSCC2010: 16bit, 250M pipeline ADC ISSCC2011: 12bit, 1G pipeline ADC ISSCC2011: 12b, 800M, 4X, in 40nm CMOS

10 设计考虑 : 结构 OPA-Less 结构 SAR/Flash/VCO-Based 类数字特性, 电压 / 功耗 / 速度随工艺缩小而改善 低 OPA 要求的结构 Sigma-Delta 带数字校准的 pipeline 超高速转换结构 Time-Interleaved Converter + DSP 利用后续 DSP 资源进行软件校准 可重构结构 例 : 多标准 / 多模式收发机 要求 : 不同性能配置下, 均有合理的功耗 Sigma-Delta 最合适 高速高精度架构

11 ISSCC 2014 TRENDS a survey of ADC power efficiency expressed as power dissipated relative to the effective Nyquist rate (P/fsnyq), and as a function of signal-to-noise and distortion ratio (SNDR)

12 ISSCC 2014 TRENDS energy per conversion step vs. the Nyquist sampling rate

13 Pipeline ADC Architecture 高效参考驱动器 高性能 低功耗跨导运放 Multi-bit: 功耗 负载 校准 V out C f C M C M i i1 i1 Vi f C b C i f i V ref 2 n1 低功耗结构 宽带 高精度 低功耗采保 高效校准算法

14 Pipeline ADC 设计问题 结构级设计与优化 SHA less? Stage resolution? Capacitor size? Opamp spec? Calibration or not? 电路级设计与优化 High performance building blocks Clock, Reference, I/O 可编程可配置 版图设计 匹配设计 寄生优化 电源规划 干扰的抑制与隔离 面积优化 孔径误差带宽功耗匹配 噪声线性 非线性误差 典型开关电容电路 Behavior Model (MATLAB) Transistor level Simulation (Spice, Spectre) 单元整数比 共心 加 dummy 差分规范 关键点保护 衬底隔离 寄生分析 Monte-Carlo analysis PVT Corner Trans. 分析很重要 反复寄生提取与优化

15 SAR ADC 以 D/A 来实现 A/D, 逐次逼近 需要 N 次 D/A 和比较实现 1 次 N 位 A/D 转换 精度主要由 DAC 决定 无运放, 低电压 低功耗 深亚微米 CMOS 工艺下很有发展潜力的结构 超低功耗, 高速转换是研究热点 异步时序控制 可实现性能 8~16 bit xk ~ x00m S/s 9-b, 50MS/s, 65fJ/conv. 9-b, 40MS/s, 54fJ/conv. 10-b, 100MS/s, 15.5fJ/conv. 8-b, 400MS/s, 73fJ/conv. No Time-interleaved!

16 SAR ADC 宽带线性采样 ( 输入开关 ) 速度优化 : 噪声功耗 参考驱动分段结构电容版图 (CDAC) 延时优化异步 动态逻辑降低翻转率 校准 : 将元件 size 从失配限制缩小到噪声限制交织 : 实现更高转换速度混合结构 :Flash-SAR,Pipelined-SAR 版图 : 匹配设计 ; 关键节点 / 关键路径的寄生优化

17 高性能低功耗转换 高速高精度 Pipeline ADC Opamp Comparator Timing 极高性能 功耗? + 结构优化单元优化 高性能低功耗 Calibration 校准 低功耗高速度 多路交织 Time-interleaving 低功耗 SAR ADC DAC Comparator Logic 极低功耗 精度 速度?

18 校准降低功耗 2 ( error) 1 area 校准可以降低功耗 : 失配与噪声功率均与元件面积成反比 通常匹配决定的面积要大于噪声决定的面积 采用校准技术, 可将面积降低到噪声限制

19 交织降低功耗 GBW 0 GBW GBW gm 2 C L Cn Mgm 2 C MC max M GBW 0 g C m n L n 单通道 ADC 的速度功耗关系 多通道交织结构 Time-Interleaved 在实现高速时较单通道在功耗上有优势, 且可实现单通道所达不到的速度 ( 如 :8bit, 50GS/s)

20 An 85mW 14-bit 150MS/s Pipelined ADC Opamp & capacitor sharing (low power) Range scaling (low supply voltage & large-swing) SHA-less (low power & low noise) 20

21 Circuit techniques ADC* in JSSC08 ADC proposed** Benefits Opamp and capacitor sharing Opamp and capacitor sharing Low power None Range scaling Low supply None SHA-less Low power & low noise None Reusing the discharge phase High sampling rate Compared to ADC*, the power dissipation of the analog front-end is reduced by nearly a half for the same SNR. * L. Byung-Geun, et al. A 14-b 100-MS/s Pipelined ADC With a Merged SHA and First MDAC, IEEE Journal of Solid-State Circuits, vol.43, pp , Dec ** 李福乐, 一种 Pipeline ADC 低功耗设计方案, 课件 21

22 Performance Comparison Sampling rate(s/s) SNDR (db) Power (mw) FOM1 (fj/step) FOM2 (fj/step) JSSC08 100M VLSI08 100M ISSCC09 100M N/A CICC11* 150M This work 150M This work* 150M * Without reference buffers FOM1 2 power ENOB _ DC F S FOM 2 2 power ENOB _ ERBW 2ERBW 22

23 An 50mW 14-bit 250MS/s Pipelined ADC 采用了上一个设计里的所有电路技术 运放设计 : 折叠 套叠 版图设计 : 对寄生做更深入地优化 工艺 :130nm 65nm 功耗目标 :<50mW 运放设计优化 : 功耗 增益 寄生 研发状态 : 版图设计即将完成, 预计 2014 年 8 月流片 Ref: 李福乐, 一种 Pipeline ADC 低功耗设计方案

24 14B 200MS/s pipelined flash-sar ADC Only 11.4mW (A/D Core)

25 14B 200MS/s pipelined flash-sar ADC Pipelined Flash-SAR SHA-Less Capacitor Sharing Correlated Level-Shifting (CLS) Improved single-stage OPA Power (db) Fin = MHz SNDR = db SFDR = 87.1 db Simulated results with noise fi/fs FoM = 12.1 fj/conv.-step 折叠 - 套叠混合结构 : 增益提高 1.x db 输出端寄生电容减少一半 Only 7.5mW 预计实测结果 : FoM < 30 fj/conv.-step FoM min Power 2ERBW, f ENOB s 2

26 总结 高性能且低功耗是数据转换器最重要的研发方向之一 选择合适的工艺和架构 架构优化和电路设计优化并举 多路时间交织结构日益重要 数字校准越来越不可或缺

27 谢谢!

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 :ir@mediatek.com 代 理 發 言 人 : 姓 名 : 梁 厚 誼 職 稱 : 投 資 人 關 係 處 處 長 聯

More information

D4

D4 020 0.18-m SiGe BiCMOS 5-GHz 5/60 GHz 0.18-m SiGe BiCMOS 5/60 GHz Dual- Conversion Receiver Using a Shared Switchable 5-GHz Double Balance Gilbert Mixer 1 1 1 2 1 2 0.18-m SiGe BiCMOS 5-GHz / (5/60 GHz)

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

Microsoft PowerPoint - ch2-stallings.ppt

Microsoft PowerPoint - ch2-stallings.ppt Transmission Fundamentals Chapter 2 (Stallings Book) 1 Electromagnetic Signal is a function of time can also be expressed as a function of frequency Signal consists of components of different frequencies

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

y 1 = 槡 P 1 1h T 1 1f 1 s 1 + 槡 P 1 2g T 1 2 interference 2f 2 s y 2 = 槡 P 2 2h T 2 2f 2 s 2 + 槡 P 2 1g T 2 1 interference 1f 1 s + n n

y 1 = 槡 P 1 1h T 1 1f 1 s 1 + 槡 P 1 2g T 1 2 interference 2f 2 s y 2 = 槡 P 2 2h T 2 2f 2 s 2 + 槡 P 2 1g T 2 1 interference 1f 1 s + n n 37 1 Vol 37 No 1 2013 1 Journal of Jiangxi Normal UniversityNatural Science Jan 2013 1000-5862201301-0037-05 MISO 郭荣新, 袁继昌 361021 2 RVQ 2 MISO 3 TN 911 7 A 0 MIMO 2 MISO 3 MIMOnetwork MIMO 3GPP LTE-A 2

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of 26 2 2009 2 Control Theory & Applications Vol. 26 No. 2 Feb. 2009 : 1000 8152(2009)02 0203 06 Buck-Boost 1,2, 3, 2, 4, 1 (1., 411201; 2., 410083; 3., 411105; 4., 410004) :, Buck-Boost,.,,. :,,,, : Buck-Boost

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

85% NCEP CFS 10 CFS CFS BP BP BP ~ 15 d CFS BP r - 1 r CFS 2. 1 CFS 10% 50% 3 d CFS Cli

85% NCEP CFS 10 CFS CFS BP BP BP ~ 15 d CFS BP r - 1 r CFS 2. 1 CFS 10% 50% 3 d CFS Cli 1 2 3 1. 310030 2. 100054 3. 116000 CFS BP doi 10. 13928 /j. cnki. wrahe. 2016. 04. 020 TV697. 1 A 1000-0860 2016 04-0088-05 Abandoned water risk ratio control-based reservoir pre-discharge control method

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

幻灯片 1

幻灯片 1 Digital Signal Processing(DSP) : 203 : 0531-88364509 Email: jiangmingyan@sdu.edu.cn : ---- ---- JMY Copyright Reserved, SDU, 1 / 69 : (,, 2007 64 48 16 1 8 1. 2 2. 6 3. 6 4. 8 5., FFT 8 6. 6 7. 8 8. 4

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

NAC-300 user manual

NAC-300 user manual NAC-300N/ NAC-300P - 1 - NAC-300N/ NAC-300P ( 1.0.0) 2006 2006 1 27-2 - - 3 - NAC-300... 6 1.1... 6 1.2... 7 1.3... 8 1.4... 9 NAC-300... 10 2.1 NAC-300...10 2.2 NAC-300...12 NAC-300... 14 3.1...14 3.2

More information

untitled

untitled 股 票 代 號 :2360 致 茂 電 子 股 份 有 限 公 司 2004 年 報 ANNUAL REPORT 中 華 民 國 九 十 四 年 三 月 三 十 一 日 一 本 公 司 發 言 人 姓 名 : 張 明 雄 職 稱 : 執 行 副 總 經 理 電 話 :(03)327-9999 分 機 8006 Email:ming@chroma.com.tw 本 公 司 代 理 發 言 人 姓 名

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

Microsoft PowerPoint - MR413_Lec3.pptx

Microsoft PowerPoint - MR413_Lec3.pptx 模拟集成电路课程设计 ( 版图 ) Layout in Analog Integrated Circuits Assist. Prof. Jian Zhao Prof. Guoxing Wang Shanghai Jiao Tong University School of Microelectronics zhaojianycc@sjtu.edu.cn Time Instructors Lecture:

More information

Microsoft PowerPoint - STU_EC_Ch12_new.ppt

Microsoft PowerPoint - STU_EC_Ch12_new.ppt 樹德科技大學資訊工程系 Chapter 1: Signal Interfacing and Processing Shi-Huang Chen Fall 010 1 Outline Digital Signal Processing Basics Converting Analog Signals to Digital Analog-to-Digital Conversion (ADC) Methods

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

untitled

untitled A 60GHz 16Gb/s 16QAM Low-Power Direct-Conversion Transceiver Using Capacitive Cross-Coupling Neutralization in 65nm CMOS Hiroki Asada, Keigo Bunsen, Kota Matsushita, Rui Murakami, Qinghong Bu, Ahmed Musa,

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi R&D of a Large Format Hybrid Photo-Detector (HPD) for a Next Generation Water Cherenkov Detector Tokyo - HPK joint R&D program H.Aihara University of Tokyo HPK =Hamamatsu Photonics 1 presented at Next

More information

Technical Acoustics Vol.27, No.4 Aug., 2008,,, (, ) :,,,,,, : ; ; : TB535;U : A : (2008) Noise and vibr

Technical Acoustics Vol.27, No.4 Aug., 2008,,, (, ) :,,,,,, : ; ; : TB535;U : A : (2008) Noise and vibr 8 8 Technical Acoustics Vol., No. Aug., 8,,, (, 8) :,,,,,, : ; ; : TB;U.+ 9 : A : -(8)--- Noise and vibration tests for fuel cell vehicel and noise sources identification SHEN Xiu-min, ZUO Shu-guang, CAI

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

Master Thesis_專門用來製作目錄.doc

Master Thesis_專門用來製作目錄.doc Introduction All-IP [1-4] All-IP packet switching long delay time, jitter packet loss All-IP Budget-Based QoS End-to-End QoS (real time on demand) 1 1.1 Circuit Switching Packet Switching DWDM IP VoIP

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

Microsoft Word 張嘉玲-_76-83_

Microsoft Word 張嘉玲-_76-83_ 64 4 Journal of Taiwan Agricultural Engineering 107 12 Vol. 64, No. 4, December 2018 DOI: 10.29974/JTAE.201812_64(4).0005 WASP - Applying the WASP Model to Evaluate the Effect of Wastewater Sewer Takeover

More information

Essential procedures of stereological (morphometric( morphometric) ) study / / / / / / /

Essential procedures of stereological (morphometric( morphometric) ) study / / / / / / / 2010 4 0817-2242778 2242778 E-mail zwyang@nsmc.edu.cn http://www.nsmc.edu.cn/forum/stereology www.nsmc.edu.cn/forum/stereology/ Essential procedures of stereological (morphometric( morphometric) ) study

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

第一章

第一章 課 程 名 稱 : 光 纖 傳 輸 實 務 與 實 習 1. 課 程 概 述 : 光 纖 傳 輸 實 務 與 實 習 為 隔 年 開 授 之 課 程, 此 高 等 課 程 實 習 項 目 之 內 容 較 具 彈 性, 以 教 導 學 生 如 何 使 用 設 計 工 具 與 發 揮 設 計 能 力 為 目 標 新 編 了 光 纖 光 放 大 器 模 擬 設 計 實 習 教 材, 包 含 摻 鉺 光 纖

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

Vol. 22 No. 4 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Aug GPS,,, : km, 2. 51, , ; ; ; ; DOI: 10.

Vol. 22 No. 4 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Aug GPS,,, : km, 2. 51, , ; ; ; ; DOI: 10. 22 4 2017 8 Vol. 22 No. 4 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Aug. 2017 150080 GPS,,, : 27. 36 km, 2. 51, 110. 43, ; ; ; ; DOI: 10. 15938 /j. jhust. 2017. 04. 015 U469. 13 A 1007-2683

More information

CMOS 14 ADS..7 ADS

CMOS 14 ADS..7 ADS 堃 ..3 3..3 4 CMOS 14 ADS..7 ADS.33 38...38 5.GHz The design of 5.GHz low voltage and low power consumption mixer with current reuse Maxwell s PHS 3 G OFDM 5 GHz RF RF SOC, System on a Chip (GaAs) (Bipolar)

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 210 (02)2586-5859 156 12 (02)

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 210 (02)2586-5859  156 12 (02) C8 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 sales-head@ic-fortune.com (02)2809-4742 audit@ic-fortune.com 27 28 (02)2809-4742 210 (02)2586-5859 http://www.yuanta.com.tw 156 12

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

建筑设计奖

建筑设计奖 建 筑 设 计 奖 时 间 部 门 ( 地 区 ) 奖 项 名 级 别 项 目 名 称 2011 年 广 东 省 建 筑 师 协 优 秀 建 筑 佳 作 奖 东 莞 长 安 镇 公 共 汽 车 站 2011 年 广 东 省 建 筑 师 协 优 秀 建 筑 佳 作 奖 东 莞 松 山 湖 科 技 产 业 园 区 科 学 院 苑 2011 年 广 东 省 建 筑 师 协 优 秀 建 筑 佳 作 奖 广

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

99年度提案審查

99年度提案審查 1/21 2 97 98 99 00 01 02 03 04 05 06 07 3 年度 年 類 例 年 行 量 年 行 量 4 Source NCC 5 行 WAP, GPRS, PHS, 3G (Source: NCC) 6 Source: Allot Mobile Trends 7 ARPU from $48 to $24 by 2011 HSPA Modules from $70 to $35

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

発表の概要

発表の概要 2008 09 : : (Yokogawa Electric Corporation) 1915 9 1 : : 36 (2006 3 31 ) : 19,200 : : 110 29 Headquarters Regional Headquarters Production Facilities Sales, Engineering and Service Centers : 59 2007 9

More information

ADLINK Company Profile

ADLINK Company Profile 轻 松 构 建 精 准 高 效 的 电 子 产 品 功 能 测 试 解 决 方 案 王 小 龙 Leon Wang 业 务 拓 展 经 理 测 试 与 自 动 化 产 品 电 子 产 品 的 进 化 6 英 寸 超 大 2K 高 清 屏 HIFI 级 音 频 2100W 像 素 摄 像 头 七 模 十 九 频 全 网 通 多 点 全 功 能 触 控 重 力 感 应 / 红 外 距 离 感 应 / 陀

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

S9 2 S S S S S S

S9 2 S S S S S S 17 2 Journal of South China University of Technology Vol. 17 No. 2 2015 4 Social Science Edition Api. 2015 * 510640 TU981 A 1009-055X 201502-0106 - 11 HEMC Higher Education Mega Center 43. 3 18 ~ 20 35

More information

IC 2-1. 32 (liquid crystal) 2-2 (Color Filter, CF) 2-3 IC 2-4 2-5 2

IC 2-1. 32 (liquid crystal) 2-2 (Color Filter, CF) 2-3 IC 2-4 2-5 2 (2004-05-08) (2004-05-13) (Thin Film Transistor - Liquid Crystal Display, TFT-LCD) (Cathode-Ray Tube, CRT) (Direct Light) 2.1 2-1 32 1 IC 2-1. 32 (liquid crystal) 2-2 (Color Filter, CF) 2-3 IC 2-4 2-5

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

PLC Simulative Control of an Elevator by PLC POWER SUPPLY ii iii ABSTRACT In the modern time, elevator is very popular and based. Most techniques of elevator are owned by foreigners. A simple introduction

More information

工控资料窝 www.gk-world.com http://www.bzxindaxin.com http://www.gk-world.com http://www.bzxindaxin.com http://www.gk-world.com http://www.bzxindaxin.com http://www.gk-world.com http://www.bzxindaxin.com http://www.gk-world.com

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

<4D6963726F736F667420576F7264202D2044312D36207A20726320BAABCEB0C7BF20B5E7CDF8D4CBD0D052544453B7D6CEF6B5C4BFC9D0D0D0D4BCB0B7BDCABDCCBDCCD62E646F63>

<4D6963726F736F667420576F7264202D2044312D36207A20726320BAABCEB0C7BF20B5E7CDF8D4CBD0D052544453B7D6CEF6B5C4BFC9D0D0D0D4BCB0B7BDCABDCCBDCCD62E646F63> 2010 年 第 4 卷 第 3 期 南 方 电 网 技 术 研 究 与 分 析 2010,Vol. 4,No. 3 SOUTHERN POWER SYSTEM TECHNOLOGY Study & Analysis 文 章 编 号 :1674-0629(2010)03-0051-06 中 图 分 类 号 :TM743 文 献 标 志 码 :A 电 网 运 行 RTDS 分 析 的 可 行 性 及

More information

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud LED/Smart TV LED/智慧電視 www.passivecomponent.com 1 www.passivecomponent.com LED/Smart TV LED/ Function List 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Products \ Application Tuner block DSP block / I/O Voice/Audio

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

01-0982.doc

01-0982.doc 第 32 卷 第 7 期 岩 土 工 程 学 报 Vol.32 No.7 2010 年 7 月 Chinese Journal of Geotechnical Engineering July 2010 沿 海 碎 石 回 填 地 基 上 高 能 级 强 夯 系 列 试 验 对 比 研 究 年 廷 凯 1,2, 水 伟 厚 3, 李 鸿 江 4, 杨 庆 1,2, 王 玉 立 (1. 大 连 理 工

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Contents Performance data Standard efficiency IE1 cast iron three phase motor Performance data Standard efficiency IE1 aluminum three phase motor Perf

Contents Performance data Standard efficiency IE1 cast iron three phase motor Performance data Standard efficiency IE1 aluminum three phase motor Perf SM Range Three Phase Induction Motor Tianjin Shenchuan Electric Machine Co., Ltd. China Contents Performance data Standard efficiency IE1 cast iron three phase motor Performance data Standard efficiency

More information