ADV322/ADV323 目录特性... 1 应用... 1 功能框图... 1 概述... 1 修订历史... 2 技术规格... 3 OSD 禁用... 3 OSD 使能... 4 时序特性 ( 串行模式 )... 5 绝对最大额定值... 6 热阻... 6 功耗... 6 ESD 警告..

Size: px
Start display at page:

Download "ADV322/ADV323 目录特性... 1 应用... 1 功能框图... 1 概述... 1 修订历史... 2 技术规格... 3 OSD 禁用... 3 OSD 使能... 4 时序特性 ( 串行模式 )... 5 绝对最大额定值... 6 热阻... 6 功耗... 6 ESD 警告.."

Transcription

1 3 MHz 缓冲式模拟交叉点开关 ADV322/ADV323 产品特性大型 非阻塞式开关阵列 G = +1 (ADV322) 或 G = +2 (ADV323) 工作提供 引脚兼容版本 (ADV32/ADV321) +5 V 单电源,±2.5 V 双电源或 ±3.3 V 双电源 (G = +2) 开关阵列的串行编程每个输出均配备 2:1 OSD 插入多路复用器输入同步端箝位利用高阻抗输出禁用功能, 多个器件可以相连, 而且向输出总线提供的负载极小出色的视频性能 6 MHz,.1 db 增益平坦度.1% 差分增益误差 (R L = 15 Ω).1 差分相位误差 (R L = 15 Ω) 出色的交流性能带宽 :>3 MHz 压摆率 :>4 V/μs 低功耗 :1 W 所有不利串扰低 : 48 5 MHz 复位引脚可以禁用所有输出通过一个电容与地相连可提供上电复位功能 176 引脚裸露焊盘 LQFP 封装 (24 mm 24 mm) 封装 CLK DATA IN UPDATE CS RESET 32 INPUTS ENABLE/ BYPASS. SYNC-TIP CLAMP. 功能框图 DVCC DGND 193-BIT SHIFT REGISTER 97 PARALLEL LATCH :32 DECODERS 512 SWITCH MATRIX REFERENCE 16 OSD MUX ADV322 (ADV323) 16 ENABLE/ DISABLE OUTPUT BUFFER G = +1 (G = +2).. DATA OUT 16 OUTPUTS 应用闭路电视监控高速信号路由, 包括 : 复合视频 (NTSC PAL S SECAM) RGB 和分量视频路由压缩视频 (MPEG 小波 ) 视频会议 VCLAMP OSD INPUTS 图 1. OSD VREF SWITCHES 概述 ADV322/ADV323 为 模拟交叉点开关矩阵 具有适合交流耦合应用的可选同步脉冲顶部箝位输入, 以及一个 2:1 屏幕显示 (OSD) 插入多路复用器 两款器件的串扰性能均为 48 db, 隔离性能为 8 db (5 MHz), 因而适合许多高密度路由应用 同时.1 db 平坦度达 6 MHz, 堪称复合视频和分量视频切换应用的理想之选 ADV322/ADV323 内置 16 个独立输出缓冲器, 可以将这些缓冲器置于高阻抗状态, 以提供并行交叉点输出, 因此构建更大阵列时, 关断通道仅向输出总线提供极小的负载 ADV322 具有增益 +1, 而 ADV323 则具有增益 +2, 适合后部端接负载应用 两款器件可以采用 +5 V 单电源 ±2.5 V 双电源或 ±3.3 V 双电源 (G = +2) 供电, 所有输出均使能时的空闲功耗仅为 195 ma 通道切换通过双缓冲式串行数字控制接口实现, 可以利用该接口将多个器件以菊花链形式连接起来 ADV322/ADV323 采用 176 引脚裸露焊盘 LQFP (24 mm 24 mm) 封装, 工作温度范围为 4 C 至 +85 C 扩展工业温度范围 Rev. Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No One Technology Way, P.O. Box 916, Norwood, MA , U.S.A. license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Tel: Trademarks and registered trademarks are the property of their respective owners. Fax: Analog Devices, Inc. All rights reserved. ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 ADV322/ADV323 目录特性... 1 应用... 1 功能框图... 1 概述... 1 修订历史... 2 技术规格... 3 OSD 禁用... 3 OSD 使能... 4 时序特性 ( 串行模式 )... 5 绝对最大额定值... 6 热阻... 6 功耗... 6 ESD 警告... 6 引脚配置和功能描述... 7 真值表和逻辑图... 1 典型性能参数 工作原理 应用信息 编程 外形尺寸 订购指南 修订历史 28 年 1 月 版本 : 初始版 Rev. Page 2 of 2

3 ADV322/ADV323 技术规格 OSD 禁用 除非另有说明,V S = ±2.5 V (ADV322),V S = ±3.3 V(ADV323,T A = 25 C),G = +1 (ADV322),G = +2 (ADV323),R L = 15 Ω, 全配置 表 1. ADV322/ADV323 参数 条件 最小值 典型值 最大值 单位 动态性能 3 db 带宽 2 mv p-p 3 MHz 2 V p-p 12 MHz 增益平坦度.1 db, 2 mv p-p 6 MHz.1 db, 2 V p-p 4 MHz 建立时间 1%,2 V 阶跃 6 ns 压摆率 2 V 阶跃, 峰值 4 V/µs 噪声 / 失真性能差分增益误差 NTSC 或 PAL.6/.1 % 差分相位误差 NTSC 或 PAL.6/.3 度 所有不利串扰,RTI f = 5 MHz,R L = 15 Ω 48 db RL = 1 kω 65 db f = 1 MHz, RL = 15 Ω RL = 1 kω 23 3 db db 关断隔离 ( 输入至输出 ) f = 5 MHz, 一个通道 8 db 输入电压噪声.1 MHz 至 5 MHz 25/22 nv/ Hz 直流性能增益误差 广播模式, 空载 ±.5 ±1.75/±2.2 % 广播模式 ±.5 ±2.2/±2.7 % 增益匹配 通道间空载 ±.5/±.8 ±2.8 % 通道至通道 ±.5/±.8 ±3.4 % 输出特性输出阻抗 直流, 使能.15 Ω 直流, 禁用 9/3.2 1/4 kω 输出电容 ADV322 禁用 3.7 pf 输出电压范围 ADV322 ADV323 ADV323, 无输出负载 1.1 至 至 至 至 至 至 +2. V V V 输入特性输入失调电压 ±5 ±3 mv 输入电压范围 ADV322 ADV323 ADV323, 无输出负载 1.1 至 至 至 至 至 至 +1. V V V 输入电容 3 pf 输入电阻 1 4 MΩ 输入偏置电流 同步端箝位使能, µa V IN = VCLAMP +.1 V 同步端箝位使能, ma V IN = VCLAMP.1 V 同步端箝位禁用 1 3 µa 开关特性使能导通时间 5% 更新至 1% 建立 5 ns 开关时间,2 V 阶跃信号 5% 更新至 1% 建立 4 ns 开关瞬变 ( 毛刺 ) IN 至 IN31,RTI 3 mv p-p Rev. Page 3 of 2

4 ADV322/ADV323 ADV322/ADV323 参数 条件 最小值 典型值 最大值 单位 电源电源电流 V POS 或 V NEG, 输出使能, 空载 195/2 22/235 ma V POS 或 V NEG, 输出禁用 12/13 155/165 ma DVCC ma 电源电压范围 5 ± 1%/ V 6.6 ± 1% PSR,, f = 1 MHz 5/ 45 db 工作温度范围温度范围 工作时 ( 静止空气 ) 4 至 +85 C θja 工作时 ( 静止空气 ) 16 C/W OSD 使能除非另有说明,V S = ±2.5 V (ADV322),V S = ±3.3 V(ADV323,T A = 25 C),G = +1 (ADV322),G = +2 (ADV323),R L = 15 Ω, 全配置 表 2. ADV322/ADV323 参数 条件 最小值 典型值 最大值 单位 OSD 动态性能 3 db 带宽 2 mv p-p 17/15 MHz 2 V p-p 135/13 MHz 增益平坦度.1 db, 2 mv p-p 35 MHz.1 db, 2 V p-p 35 MHz 建立时间 1%,2 V 阶跃 6 ns 压摆率 2 V 阶跃, 峰值 4 V/µs OSD 噪声 / 失真性能差分增益误差 NTSC 或 PAL.12/.35 % 差分相位误差 NTSC 或 PAL.6/.4 度 输入电压噪声.5 MHz 至 5 MHz 27/25 nv/ Hz OSD 直流性能增益误差 无负载 ±.1 ±2.3/±2.2 % ±.1 ±2.7 % OSD 输入特性输入偏置电流 同步端箝位禁用 1 4 µa OSD 开关特性 OSD 开关延迟,2 V 阶跃 5% OSD 开关至 1% 建立 2 ns OSD 开关瞬变 ( 毛刺 ) 15/4 mv p-p Rev. Page 4 of 2

5 ADV322/ADV323 时序特性 ( 串行模式 ) 规格如有变更恕不另行通知 表 3. 限值 参数 符号 最小值 典型值 典型值 单位 串行数据建立时间 t1 4 ns CLK 脉冲宽度 t2 5 ns 串行数据保持时间 t3 5 ns CLK 脉冲间隔 t4 15 ns CLK 至 UPDATE 延迟 t ns UPDATE 脉冲宽度 t6 4 ns CLK 至 DATA OUT 有效 t7 13 ns 传播延迟,UPDATE 至开关 ON 或 OFF 5 ns 数据加载时间,CLK = 5 MHz, 串行模式 38.6 µs RESET 时间 16 ns 1 CS 1 CLK t 2 t 4 LOAD DATA INTO SERIAL REGISTER ON RISING EDGE t 1 t 3 1 DATA IN CLAMP ON/OFF OUT15 (D5) OUT (D) 1 = LATCHED UPDATE = TRANSPARENT t 7 t 5 t 6 TRANSFER DATA FROM SERIAL REGISTER TO PARALLEL LATCHES DURING LOW LEVEL DATA OUT 图 2. 时序图, 串行模式 表 4. 逻辑电平,DVCC = 3.3 V VIH VIL VOH VOL IIH IIL IOH IOL RESET, CS, CLK, DATA IN, UPDATE, OSDS RESET, CS, CLK, DATA IN, UPDATE, OSDS DATA OUT DATA OUT RESET, CS, CLK, DATA IN, UPDATE, OSDS RESET, CS, CLK, DATA IN, UPDATE, OSDS DATA OUT DATA OUT 2.5 V( 最小值 ).8 V( 最大值 ).8 V( 最大值 ).5 V( 最大值 ).5 μa( 典型值 ).5 μa( 典型值 ) 3 ma( 典型值 ) 3 ma( 典型值 ) Rev. Page 5 of 2

6 ADV322/ADV323 绝对最大额定值 表 5. 参数模拟电源电压 (V POS V NEG ) 数字电源电压 (DVCC D GND ) 额定值 7.5 V 6 V 功耗 ADV322/ADV323 采用 ±2.5 V +5 V 或 ±3.3 V 电源供电, 可驱动最低 15 Ω 负载, 因而可能存在多种不同功耗 为此, 必须注意根据环境温度降低工作条件 地电位差 (V NEG D GND ) +.5 V 至 -4 V 最大电位差 DVCC V NEG 9.4 V 已禁用输出 ADV322 ( V OSD V OUT ) <3 V ADV323 ( V OSD (V OUT +V REF )/2 ) <3 V V CLAMP V INxx 6 V V REF 输入电压 ADV322 V POS 3.5 V 至 V NEG V ADV323 V POS 4 V 至 V NEG + 4 V 模拟输入电压 V NEG 至 V POS 数字输入电压 DVCC 输出电压 ( 禁用模拟输出 ) (V POS 1 V) 至 (V NEG + 1 V) ADV322/ADV323 采用 176 引脚裸露焊盘 LQFP 封装, 结至环境热阻 (θ JA ) 为 16 C/W 为确保长期可靠性, 芯片的最大容许结温不应超过 15 C 即便只是暂时超过此限值, 由于封装对芯片作用的应力改变, 参数性能也可能会发生变化 长时间超过 175 C 的结温可能会导致器件失效 图 3 显示了在 4 C 至 +85 C 的环境温度范围内满足这些条件的芯片内部功耗容许范围 图 3 不包括最大功耗计算中的外部负载功耗, 但包括通过芯片输出晶体管的负载电流 9 T J = 15 C 8 输出短路持续时间 瞬时 输出短路电流 45 ma 存储温度范围 65 C 至 +125 C 工作温度范围 4 C 至 +85 C 引脚温度 ( 焊接,1 秒 ) 3 C 结温 15 C MAXIMUM POWER (W) 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 不表示在这些条件下或者在任何其它超出本技术规范操作章节中所示规格的条件下, 器件能够正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 热阻 θ JA 针对最差条件, 即焊接在电路板上的器件为表贴封装 表 6. 热阻 封装类型 θja 单位 176 引脚 LQFP_EP 16 C/W ESD 警告 AMBIENT TEMPERATURE ( C) 图 3. 芯片最大功耗与环境温度的关系 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 Rev. Page 6 of 2

7 ADV322/ADV323 引脚配置和功能描述 176 DGND 175 NC 174 NC 173 NC 172 NC 171 NC 17 NC 169 NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC 134 NC 133 NC DVCC NC 2 PIN NC RESET 3 13 NC CLK NC DATA IN NC DATA OUT NC UPDATE OSDS CS IN16 DGND OSDS1 IN IN17 DGND OSDS2 IN IN18 DGND OSDS3 IN IN19 DGND OSDS4 IN IN2 DGND OSDS5 IN IN21 DGND OSDS6 IN IN22 DGND OSDS7 ADV322/ADV323 IN IN23 TOP VIEW DGND OSDS8 (Not to Scale) IN IN24 DGND OSDS9 IN IN25 DGND OSDS1 IN IN26 DGND OSDS11 IN IN27 DGND OSDS12 IN IN28 DGND 33 1 OSDS13 IN IN29 DGND OSDS14 IN IN3 DGND OSDS15 IN IN31 DGND IN OSD OSD1 VREF OSD2 VCLAMP 43 9 OSD3 OSD OSD14 OSD13 OSD12 OSD11 OSD1 OSD9 OSD8 OUT15 OUT14 OUT13 OUT12 OUT11 OUT1 OUT9 OUT8 OUT7 OUT6 OUT5 OUT4 OUT3 OUT2 OUT1 OUT OSD7 OSD6 OSD5 OSD NOTES 1. NC = NO CONNECT 2. OSDS#: OSD SELECT FOR OUTPUT # OSD#: OSD VIDEO INPUT FOR OUTPUT # 3. THE EXPOSED PAD SHOULD BE CONNECTED TO ANALOG GROUND 图 4. 引脚配置 Rev. Page 7 of 2

8 ADV322/ADV323 表 7. 引脚功能描述 引脚 引脚名称 描述 1 DVCC 数字正电源 2 NC 不连接 3 RESET 控制引脚 : 一级和二级复位 4 CLK 控制引脚 : 串行数据时钟 5 DATA IN 控制引脚 : 串行数据输入 6 DATA OUT 控制引脚 : 串行数据输出 7 UPDATE 控制引脚 : 二级写选通 8 CS 控制引脚 : 片选 9 DGND 数字负电源 1 IN 输入数字 11 DGND 数字负电源 12 IN1 输入数字 1 13 DGND 数字负电源 14 IN2 输入数字 2 15 DGND 数字负电源 16 IN3 输入数字 3 17 DGND 数字负电源 18 IN4 输入数字 4 19 DGND 数字负电源 2 IN5 输入数字 5 21 DGND 数字负电源 22 IN6 输入数字 6 23 DGND 数字负电源 24 IN7 输入数字 7 25 DGND 数字负电源 26 IN8 输入数字 8 27 DGND 数字负电源 28 IN9 输入数字 9 29 DGND 数字负电源 3 IN1 输入数字 1 31 DGND 数字负电源 32 IN11 输入数字 DGND 数字负电源 34 IN12 输入数字 DGND 数字负电源 36 IN13 输入数字 DGND 数字负电源 38 IN14 输入数字 DGND 数字负电源 4 IN15 输入数字 模拟负电源 42 VREF 基准电压 更多信息参见工作原理部分 43 VCLAMP 同步端箝位电压 更多信息参见工作原理部分 44 OSD15 OSD 输入数字 OSD14 OSD 输入数字 OSD13 OSD 输入数字 OSD12 OSD 输入数字 OSD11 OSD 输入数字 OSD1 OSD 输入数字 1 引脚 引脚名称 描述 5 OSD9 OSD 输入数字 9 51 OSD8 OSD 输入数字 8 52 模拟正电源 53 OUT15 输出数字 模拟负电源 55 OUT14 输出数字 模拟正电源 57 OUT13 输出数字 模拟负电源 59 OUT12 输出数字 12 6 模拟正电源 61 OUT11 输出数字 模拟负电源 63 OUT1 输出数字 1 64 模拟正电源 65 OUT9 输出数字 9 66 模拟负电源 67 OUT8 输出数字 8 68 模拟正电源 69 OUT7 输出数字 7 7 模拟负电源 71 OUT6 输出数字 6 72 模拟正电源 73 OUT5 输出数字 5 74 模拟负电源 75 OUT4 输出数字 4 76 模拟正电源 77 OUT3 输出数字 3 78 模拟负电源 79 OUT2 输出数字 2 8 模拟正电源 81 OUT1 输出数字 1 82 模拟负电源 83 OUT 输出数字 84 模拟正电源 85 OSD7 OSD 输入数字 7 86 OSD6 OSD 输入数字 6 87 OSD5 OSD 输入数字 5 88 OSD4 OSD 输入数字 4 89 模拟负电源 9 OSD3 OSD 输入数字 3 91 OSD2 OSD 输入数字 2 92 OSD1 OSD 输入数字 1 93 OSD OSD 输入数字 94 模拟正电源 95 IN31 输入数字 OSDS15 控制引脚 :OSD 选择数字 IN3 输入数字 3 98 OSDS14 控制引脚 :OSD 选择数字 IN29 输入数字 29 1 OSDS13 控制引脚 :OSD 选择数字 13 Rev. Page 8 of 2

9 引脚 引脚名称 说明 11 IN28 输入数字 OSDS12 控制引脚 :OSD 选择数字 IN27 输入数字 OSDS11 控制引脚 :OSD 选择数字 IN26 输入数字 OSDS1 控制引脚 :OSD 选择数字 1 17 IN25 输入数字 OSDS9 控制引脚 :OSD 选择数字 9 19 IN24 输入数字 OSDS8 控制引脚 :OSD 选择数字 IN23 输入数字 OSDS7 控制引脚 :OSD 选择数字 IN22 输入数字 OSDS6 控制引脚 :OSD 选择数字 IN21 输入数字 OSDS5 控制引脚 :OSD 选择数字 IN2 输入数字 OSDS4 控制引脚 :OSD 选择数字 IN19 输入数字 OSDS3 控制引脚 :OSD 选择数字 IN18 输入数字 OSDS2 控制引脚 :OSD 选择数字 IN17 输入数字 OSDS1 控制引脚 :OSD 选择数字 IN16 输入数字 OSDS 控制引脚 :OSD 选择数字 127 NC 不连接 128 NC 不连接 129 NC 不连接 13 NC 不连接 131 NC 不连接 132 模拟负电源 133 NC 不连接 134 NC 不连接 135 NC 不连接 136 模拟正电源 137 NC 不连接 138 模拟负电源 139 NC 不连接 引脚 引脚名称 说明 14 模拟正电源 141 NC 不连接 142 模拟负电源 143 NC 不连接 144 模拟正电源 145 NC 不连接 146 模拟负电源 147 NC 不连接 148 模拟正电源 149 NC 不连接 15 模拟负电源 151 NC 不连接 152 模拟正电源 153 NC 不连接 154 模拟负电源 155 NC 不连接 156 模拟正电源 157 NC 不连接 158 模拟负电源 159 NC 不连接 16 模拟正电源 161 NC 不连接 162 模拟负电源 163 NC 不连接 164 模拟正电源 165 NC 不连接 166 模拟负电源 167 NC 不连接 168 模拟正电源 169 NC 不连接 17 NC 不连接 171 NC 不连接 172 NC 不连接 173 NC 不连接 174 NC 不连接 175 NC 不连接 176 DGND 模拟负电源 EPAD ( 裸露焊盘 ) 连接到模拟地 ADV322/ADV323 Rev. Page 9 of 2

10 ADV322/ADV323 真值表和逻辑图 表 8. 操作真值表 CS UPDATE CLK 数据输入 数据输出 RESET 工作条件 / 注释 X X X X X 异步复位所有输出禁用 ;193 位移位寄存器复位为全 1 Datai 1 Datai 串行 DATA IN 线路上的数据载入串行寄存器 读入串行寄存器中的第一位数据随后出现在 DATA OUT 193 时钟周期 X X X 1 开关矩阵更新 193 位移位寄存器中的数据传输至并行锁存器, 该锁存器控制开关阵列和同步端箝位 1 X X X X 1 芯片未选定 逻辑无变化 1 Datai: 串行数据 Rev. Page 1 of 2

11 ADV322/ADV323 典型性能参数 V S = ±2.5 V (ADV322),V S = ±3.3 V(ADV323),T A = 25 C,R L = 15 Ω INxx.8 2 OSDxx.4 GAIN (db) 4 6 V OUT (V) OSDxx INxx k FREQUENCY (MHz) 图 5. ADV322 小信号频率响应 (2 mv p-p) TIME (ns) 图 8. ADV322 大信号脉冲响应 (2 V p-p) RISING EDGE GAIN (db) INxx OSDxx dv/dt (V/µs) 2 FALLING EDGE k FREQUENCY (MHz) 图 6. ADV322 大信号频率响应 (2 V p-p) TIME (ns) 图 9. ADV322 压摆率 V OUT (V).4.4 OSDxx DIFFERENTIAL GAIN (%) INxx TIME (ns) 图 7. ADV322 小信号脉冲响应 (2 mv p-p) INPUT DC OFFSET (V) 图 1. ADV322 差分增益, 载波频率 = 3.58 MHz, 副载波幅度 = 3 mv p-p Rev. Page 11 of 2

12 ADV322/ADV DIFFERENTIAL PHASE (Degrees) V OUT (V) INxx OSDxx INPUT DC OFFSET (V) 图 11. ADV322 差分相位, 载波频率 = 3.58 MHz, 副载波幅度 = 3 mv p-p TIME (ns) 图 14. ADV323 小信号脉冲响应 (2 mv p-p) INxx.4 GAIN (db) 2 OSDxx V OUT (V) INxx OSDxx k FREQUENCY (MHz) 图 12. ADV323 小信号频率响应 (2 mv p-p) TIME (ns) 图 15. ADV323 大信号脉冲响应 (2 V p-p) OSDxx INxx 4 2 RISING EDGE GAIN (db) 2 dv/dt (V/µs) 2 2 FALLING EDGE k FREQUENCY (MHz) 图 13. ADV323 大信号频率响应 (2 V p-p) TIME (ns) 图 16. ADV323 压摆率 Rev. Page 12 of 2

13 ADV322/ADV DIFFERENTIAL GAIN (%) INPUT DC OFFSET (V) 图 17. ADV323 差分增益, 载波频率 = 3.58 MHz, 副载波幅度 = 3 mv p-p DIFFERENTIAL PHASE (Degrees) INPUT DC OFFSET (V) 图 18. ADV323 差分相位, 载波频率 = 3.58 MHz, 副载波幅度 = 3 mv p-p Rev. Page 13 of 2

14 ADV322/ADV323 工作原理 ADV322/ADV323 是单端交叉点阵列, 具有 16 个输出, 每个输出都可以连接 32 个输入中的任意一个 32 个可开关输入级分别连接至每一个输出缓冲器, 形成 32:1 多路复用器 这些多路复用器共有 16 个, 所有输入均采用并联连接, 总阵列共 512 级, 形成具有多播能力的交叉点开关 除了连接所有标称输出 (INxx), 每个输出还可通过各输出端额外的 2:1 多路复用器连接相关的 OSD 输入 该 2:1 多路复用器可在 32:1 多路复用器与 OSD 输入端之间开关 FROM INPUT STAGES OSD OSDS x1 OUT ADV322/ADV323 的所有输入均由接收器缓冲 该接收器通过限制信号摆幅, 为输入级提供过压保护 在 ADV322 中, 接收器输出限制为 VREF 电压 ±1.2 V, 而在 ADV323 中, 信号摆幅限制为中间电平 ±1.2 V 该接收器配置为电压反馈型单位增益放大器 较大的环路增益带宽积可降低闭环增益效应对器件带宽的影响 除接收器外, 每一个输入都有同步端箝位, 用于交流耦合应用 此箝位根据第 193 个串行数据位使能或禁用 使能后, 箝位迫使最低视频电压输出至 VCLAMP 引脚 VCLAMP 引脚为整个芯片所共用, 需采用低阻抗源驱动, 以避免串扰 VCLAMP 图 19. 单个输出通道的概念框图,G = +1 (ADV322) 每个输出的解码逻辑都会选择一个 ( 或不选 ) 输入级, 以驱动输出级 使能输入级驱动输出级, 该输出级在 ADV322 中配置为单位增益放大器 ( 见图 19) 在 ADV323 中, 内部阻性反馈网络和基准电压源缓冲器提供 +2 的总输出级增益 ( 见图 2) 基准电压源缓冲器的输入电压来自 VREF 引脚 该电压为整个芯片所共用, 需采用低阻抗源驱动, 以避免串扰 FROM INPUT STAGES OSD VREF OSDS x1 OUT 图 2. 单个输出通道的概念框图,G = +2 (ADV323) 2k 2k IN OFF-CHIP CAPACITOR 5µA TO INPUT RECEIVER 图 21. 交流耦合应用中同步端箝位的概念图 ADV322/ADV323 的输出级针对驱动复合视频信号时的低差分增益和相位误差设计 它还提供压摆电流, 用于驱动复合视频信号时的快速脉冲响应 可以禁用 ADV322/ADV323 的输出以最大程度降低片内功耗 禁用后, 一系列内部放大器驱动内部节点, 使禁用输出端在输出总线为大信号摆幅的情况下也能存在一个宽带高阻抗 ( 在 ADV323 中, 通过基准电压源缓冲器端接至 VREF 电压的电阻为 4 kω ) 此高阻抗允许多个 IC 的总线相连, 而无需额外缓冲 降低输出电容时必须谨慎, 因为这样会导致更多过冲和频域峰化 此外, 当输出禁用并通过外部驱动时, 施加在输出上的电压一定不能超过 ADV322/ADV323 的有效输出摆幅范围, 以使这些内部放大器保持在它们的线性工作范围内 对禁用输出端施加过量电压可能会导致 ADV322/ADV323 损坏, 应避免发生这种情况 ( 相关指南参见 绝对最大额定值 部分 ) Rev. Page 14 of 2

15 通过 TTL 兼容逻辑接口, 可对 ADV322/ADV323 的内部连接进行控制 串行载入一级锁存将对每个输出实现预编程 全局更新信号将编程数据移入二级锁存, 同步更新全部输出 串行输出引脚允许器件以菊花链形式连接, 用于单引脚编程多个 IC 提供上电复位引脚, 可通过禁用全部输出避免总线冲突 ADV322/ADV323 ADV322 可采用 +5 V 单电源供电, 通过 / 电源引脚为信号路径上电, 并通过 VDD/DGND 电源引脚为控制逻辑接口上电 然而, 为了便于连接地参考视频信号, 可将电源分离为 ±2.5 V(ADV323 设计为采用 ±3.3 V 电源供电 ) 执行电源分离时, 灵活的逻辑接口允许控制逻辑电源 (VDD/DGND) 工作在 +3.3 V/ V 至 +5 V/ V 范围内, 同时内核依然采用分离电源供电 Rev. Page 15 of 2

16 ADV322/ADV323 应用信息编程 ADV322/ADV323 通过 193 位串行字编程, 而每次编程器件都会更新矩阵内容和同步端箝位的状态 串行编程描述串行编程模式使用 CLK DATA IN UPDATE 和 CS 器件引脚 第一步是将 CS 置位低电平, 以便选择器件进行编程 数据移位至器件的串行端口时,UPDATE 信号必须为高电平 虽然 UPDATE 为低电平时数据仍可进行移位, 但透明异步锁存器允许移位数据到达矩阵 这使得矩阵尝试更新所有移位数据定义的即时状态 DATA IN 上的数据在每个 CLK 上升沿读入 总共有 193 位数据必须移入, 才能完成编程 16 个输出中的每一个都有 5 位 (D 至 D4) 决定其输入源, 后跟 1 位 (D5) 决定输出的使能状态 若 D5 为低电平 ( 输出禁用 ), 则 5 个关联位 (D 至 D4) 无关, 因为没有输入切换至该输出 它们组成 DATA IN 的前 96 位数据 余下的 96 位 DATA IN 应当置位为 如果前 96 位 DATA IN 之后未接 96 个, 那么部署的特定测试模式可能使器件多消耗 3% 的功耗 最后位 ( 位 193) 用于使能或禁用同步端箝位 如果位 193 为低电平, 则禁用同步端箝位 ; 否则使能 同步端箝位首先移入, 然后是最高有效输出地址数据 (OUT15) 使能位(D5) 首先移入, 然后是输入地址 (D4 至 D), 地址以首位 D4 末位 D 顺序输入 余下的所有输出均顺序编程, 直至最低有效输出地址数据移入为止 此时可拉低 UPDATE, 让器件根据刚移入的数据进行编程 UPDATE 锁存为异步, 当 UPDATE 为低电平时, 它们透明 当多个 ADV322/ADV323 器件在系统中串联编程时, 某个器件的 DATA OUT 信号可连接至下一个器件的 DATA IN, 形成串联信号链 所有 CLK 和 UPDATE 引脚应并联连接, 并按前文所述进行操作 串行数据输入信号链第一个器件的 DATA IN 引脚, 并以纹波方式传递至位于最后的器件 因此, 输入信号链中最终器件的数据应在编程序列开始时就绪 编程序列的长度为 193 位乘以链路中的器件数 复位上电 ADV32/ADV321 时, 通常要求输出启动为禁用状态 拉低 RESET 引脚时, 可禁用所有输出 上电后, UPDATE 引脚应当在 RESET 上升前驱动至高电平 由于上电后移位寄存器中的数据是随机的, 请不要用于编程矩阵, 否则可能造成矩阵状态未知 若要防止这种情况, 上电初始不要对 UPDATE 施加逻辑低电平信号 应当首先加载数据至移位寄存器, 然后拉低 UPDATE, 以便对器件编程 RESET 引脚针对 DVCC 具有一个 25 kω 上拉电阻, 可用于建立简单的上电复位电路 RESET 与地之间连接一个电容, 保持 RESET 低电平一段时间, 同时器件其余部分趋于稳定 低电平条件导致所有输出禁用 电容随后便可通过上拉电阻充电至高电平状态, 允许器件具有完整的编程能力 CS 引脚具有接地的 25 kω 下拉电阻 Rev. Page 16 of 2

17 ADV322/ADV323 外形尺寸 REF SEATING PLANE 1.6 MAX SQ SQ PIN REF TOP VIEW (PINS DOWN) EXPOSED PAD 7.8 REF COPLANARITY VIEW A ROTATED 9 CCW VIEW A COMPLIANT TO JEDEC STANDARDS MS-26-BGA-HD 图 引脚裸露焊盘薄型四方扁平封装 [LQFP_EP] (SW-176-1) 图示尺寸单位 :mm BOTTOM VIEW (PINS UP) BSC LEAD PITCH FOR PROPER CONNECTION OF THE EXPOSED PAD, REFER TO THE PIN CONFIGURATION AND FUNCTION DESCRIPTIONS SECTION OF THIS DATA SHEET A 订购指南模型 温度范围 封装描述 封装选项 ADV322ASWZ 1 4 C 至 +85 C 176 引脚裸露焊盘薄型四方扁平封装 [LQFP_EP] SW ADV323ASWZ 1 4 C 至 +85 C 176 引脚裸露焊盘薄型四方扁平封装 [LQFP_EP] SW Z = 符合 RoHS 标准的器件 Rev. Page 17 of 2

18 ADV322/ADV323 注释 Rev. Page 18 of 2

19 ADV322/ADV323 注释 Rev. Page 19 of 2

20 ADV322/ADV323 注释 28 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D7526sc--1/8() Rev. Page 2 of 2

ADV3/ADV3 目录特性... 应用... 功能框图... 概述... 修订历史... 技术规格... 3 OSD 禁用... 3 OSD 使能... 时序特性 ( 串行模式 )... 5 绝对最大额定值... 7 热阻... 7 功耗... 7 ESD 警告... 7 引脚配置和功能描述...

ADV3/ADV3 目录特性... 应用... 功能框图... 概述... 修订历史... 技术规格... 3 OSD 禁用... 3 OSD 使能... 时序特性 ( 串行模式 )... 5 绝对最大额定值... 7 热阻... 7 功耗... 7 ESD 警告... 7 引脚配置和功能描述... 3 MHz 3 3 缓冲式模拟交叉点开关 ADV3/ADV3 产品特性大型 3 3 非阻塞式开关阵列 G = + (ADV3) 或 G = + (ADV3) 运作提供引脚兼容 3 6 版本 (ADV3/ADV33) 5 V 单电源,±.5 V 双电源, 或 ±3.3 V 双电源 (G = +) 开关阵列的串行编程每个输出均配备 : OSD 插入多路复用器输入同步端箝位利用高阻抗输出禁用功能, 多个器件可以相连,

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

TONE RINGER

TONE RINGER 三通道 6 阶高清视频滤波驱动 芯片概述 是一个 3 通道视频缓冲器, 它内部集成 6dB 增益的轨到轨输出驱动器和 6 阶输出重建滤波器 的 -3dB 带宽为 35MHz, 压摆率为 160V/us 比无源 LC 滤波器与外加驱动的解决方案能提供更好的图像质量 它单电源供电范围为 +2.5V 到 +5.5V, 并有极低的工作电流 27.5mA, 非常适用于电池供电应用 的输入信号为 DAC 的输出,

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典 特 性 低 功 耗 每 个 放 大 器 的 电 源 电 流 :.3 ma 高 速 -3 db 带 宽 :5 MHz (G = +) 压 摆 率 :6 V/μs.% 建 立 时 间 :8 ns 轨 到 轨 输 入 和 输 出 输 入 超 过 供 电 轨 mv 不 会 反 相 宽 电 源 电 压 范 围 :.7V 至 V 失 调 电 压 :6 mv( 最 大 值 ) 低 输 入 偏 置 电 流 +.7

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低 1.5 GHz 超高速运算放大器 AD8 产品特性高速 3 db 带宽 :1.5 GHz (G = +1) 65 MHz 全功率带宽 (,V O = 2 V p-p) 压摆率 :4,1 V/μs.1% 建立时间 :12 ns 出色的视频特性.1 db 平坦度 :17 MHz 差分增益 :.2% 差分相位 :.1 输出过驱恢复 :22 ns 低噪声 : 输入电压噪声 :1.6 nv/ Hz 宽带宽范围内低失真无杂散动态范围

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

Microsoft Word - EE-175_cn.doc

Microsoft Word - EE-175_cn.doc Engineer-to-Engineer Note EE-175 更 多 关 于 ADI 公 司 的 DSP 处 理 器 以 及 开 发 工 具 的 技 术 资 料, 请 访 问 网 站 :http://www.analog.com/ee-note 和 http://www.analog.com/processor 如 需 技 术 支 持, 请 发 邮 件 至 processor.support@analog.com

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

V6800/V6600 3D

V6800/V6600 3D V6800/V6600 3D V6600/V6800 3D R 2000 2 3 4 5 R 6 7 8 The VIP (Video Interface Port) Connector are used for third party add-on modules, such as video capture cards or television tuners. DDR: Double Data

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

ADA485-1/ADA485- 目录产品特性... 1 应用... 1 概述... 1 典型应用电路... 1 修订历史... 规格... 3 ±5 V 电源 V 电源 V 电源... 5 绝对最大额定值... 7 热阻... 7 最大功耗... 7 ESD 警告...

ADA485-1/ADA485- 目录产品特性... 1 应用... 1 概述... 1 典型应用电路... 1 修订历史... 规格... 3 ±5 V 电源 V 电源 V 电源... 5 绝对最大额定值... 7 热阻... 7 最大功耗... 7 ESD 警告... . μv/ C 失调漂移 15 MHz 低功耗 低噪声 轨到轨放大器 ADA485-1/ADA485- 产品特性低输入失调电压 :15 µv( 最大值 ) 低输入失调电压温漂. µv/ C( 典型值 ) 1.5 µv/ C( 最大值 ) 超低电源电流 : 每个放大器 5 µa 额定电源电压 :V S = 3 V 5 V ±5 V 高速性能 3 db 带宽 :15 MHz 压摆率 :16 V/µs.1%

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

Microsoft Word - SP-DM631-A.003.doc

Microsoft Word - SP-DM631-A.003.doc Version : A.003 Issue Date : 2008/05/12 File Name Total Pages : 24 : SP--A.003.doc 16-CHANNEL CONSTANT CURRENT LED DRIVER WITH PROGRAMMABLE PWM OUTPUTS 9-7F-1, Prosperity Road I, Science Based Industrial

More information

AS141_98_CCR_V1.0_KO.pdf

AS141_98_CCR_V1.0_KO.pdf . www.philips.com/welcome AS141 1 2 2 2 Android 3 3 3 4 3 6 6 6 6 6 4 7 Android 7 Bluetooth 7 DockStudio Bluetooth 8 Android DockStudio 8 DockStudio Bluetooth 11 13 Songbird 13 Songbird Android 14 Songbird

More information

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone,

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, respectively, and has been certified by the developer

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上 中 国 证 券 市 场 基 金 研 究 基 金 研 究 Fund Research 2011 年 3 月 7 日 近 3 月 基 金 仓 位 水 平 变 化 不 大 股 性 B 类 分 级 基 金 可 获 利 了 结 基 金 仓 位 监 测 与 市 场 周 报 (2.28-3.4) 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 基

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

AD86/AD862/AD864 目录 产品特性... 应用... 概述... 引脚配置... 修订历史...2 技术规格...3 电气特性...3 绝对最大额定值... 热阻... ESD 警告... 典型性能参数...6 工作原理... 轨到轨输入级... 修订历史 2 年 月 修订版 F 至修

AD86/AD862/AD864 目录 产品特性... 应用... 概述... 引脚配置... 修订历史...2 技术规格...3 电气特性...3 绝对最大额定值... 热阻... ESD 警告... 典型性能参数...6 工作原理... 轨到轨输入级... 修订历史 2 年 月 修订版 F 至修 精密 CMOS 单电源 轨到轨输入 / 输出 宽带运算放大器 AD86/AD862/AD864 产品特性低失调电压 : μv( 最大值 ) 单电源供电 :2.7 V 至. V 低电源电流 : 每个放大器 7 μa 宽带宽 :8 MHz 压摆率 : V/μs 低失真无相位反转低输入电流单位增益稳定通过汽车应用认证 应用电流检测条形码扫描器 PA 控制电池供电仪器仪表多极滤波器传感器 ASIC 输入或输出放大器音频

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

MAX6970 DS.C

MAX6970 DS.C 19-3890; Rev 0; /05 8 端口 36V 恒流 LE 驱动器 概述 串行接口 LE 驱动器提供 8 个开漏极 恒流吸收 额定 36V LE 驱动器输出 该器件采用 3V 至 5.5V 电源供电 电源和 LE 电源能以任意顺序上电 所有恒流输出均由一个外部电阻设置, 每路最大 55mA 采用 25Mbps 工业标准 4 线串口进行控制 采用工业标准的 移位寄存器加锁存器形式的串口控制

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

P3V4X JumperFree TM

P3V4X JumperFree TM P3V4X JumperFree TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 1 2 3 4 5 6 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 19.3cm (7.6in) COM1 COM2 PS2KBMS JTPWR

More information

I S3125A A. B. C. D. E. F cm 10cm 10cm Cs-2

I S3125A A. B. C. D. E. F cm 10cm 10cm Cs-2 9 PA-MC5500 2 6 10 17 Onkyo9 9 Cs I 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. S3125A 14. 15. A. B. C. D. E. F. 16. 17. 18. 20cm 10cm 10cm Cs-2 1. 2. Onkyo 3. 4. AC 230V 50Hz AC 120V 60Hz [ON/STANDBY]

More information

DM117

DM117 Version : PRE.001 Issue Date : 2006/5/1 File Name : SP--PRE.001.doc Total Pages : 25 16-bit Constant Current LED Driver with Error Detection 16-bit Constant Current LED Driver with Error Detection General

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc 叠层片式铁氧体磁珠 G 系列 Multilayer Chip Ferrite Bead G Series Operating Temp. : - ~+12 特征 内部印有银电极的叠层结构, 铁氧体屏蔽无串扰 在较宽的频率范围 ( 几十 MHz 至几百 MHz) 内具有优良的 EMI 抑制效果 三种铁氧体材料 范围宽, 适用于不同的电子线路 用途 电脑及周边设备,DVD 照相机 LCD TV 等音视频设备,

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

文件编号

文件编号 GM7123 3 This specification are subject to be changed without notice. Any latest information please preview http://www.fosvos.com DATA SHEET GM7123 3 2010.07 +86-21-58998693/58994470 This specification

More information

TONE RINGER

TONE RINGER 零漂移 单电源 输入输出轨到轨高精度运放 描述 MS8628/MS8629/MS8630 均为输出幅度轨到轨 宽带宽 低噪声 自稳零放大器, 具有超低失调 漂移和偏置电流特性 它采用 1.8V 至 5V 单电源 (±0.9 V 至 ±2.5 V 双电源 ) 供电 MS8628/MS8629/MS8630 具有以前昂贵的自稳零或斩波放大器才具有的特性优势, 此外, 还大大降低了大多数斩波稳定放大器存在的数字开关噪声

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information