版权声明 本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 网站下载最

Size: px
Start display at page:

Download "版权声明 本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 网站下载最"

Transcription

1 G6818 核心板简介 深圳葡萄雨技术有限公司

2 版权声明 本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 网站下载最新手册或与我司销售联系取得, 不再另行通知 版本说明 版本号 日期 作者 描述 Rev David Huang 修订版本

3 技术支持 如果您对文档有所疑问, 您可以拨打技术支持电话或 联系 网 址 : 联系电话 : 销售与服务网络 公司 : 深圳市葡萄雨技术有限公司地址 : 深圳市宝安区西乡街道银田路 4 号邮编 : 电话 : 网址 :

4 目录 版权声明... 错误! 未定义书签 第 1 章 G6818 核心板简介 产品简介 特性参数 核心板外观 核心板结构图 底板外观...9 第 2 章引脚定义 核心板引脚定义 核心板引脚定义 核心板引脚定义 核心板引脚定义 核心板引脚定义 第 3 章硬件设计 电源设计 USB 设计 HDMI 设计 LVDS 设计 MIPI 设计 第 4 章产品线介绍 核心板系列... 错误! 未定义书签 4.2 开发板系列... 错误! 未定义书签 4.3 卡片电脑系列... 错误! 未定义书签

5 第 1 章 G6818 核心板简介 1.1 产品简介 G6818 核心板, 是深圳市葡萄雨技术有限公司推出的基于三星 S5P6818( A53 八核 ) 芯片的产品平台 G6818 开发平台采用邮票孔的核心板 + 底板方式设计, 核心板可扩展性强, 多达 184 PIN 管脚, 运行速度高达 1.4G+Hz PCB 采用 8 层沉金工艺设计, 具有最佳的电气特性和抗干扰特性, 工作稳定可靠 核心板板载了 PMU, 带库仑计的充电管理, 可以广泛应用于 MID, POS,PDA,PND, 智能家居, 手机, 车机, 学习机, 游戏机以及其他各种工控领域 S5P6818 采用 28nm 制作工艺, 内置高性能 8 核 A53 ARM 架构, 在多媒体性能上, 它几乎支持全格式视频解码, 在 LCD 控制器上, 芯片板载 LVDS 和 RGB 双路显示控制接口, 显示分辨率可以高达 2048*1280@60Hz 同时, 内部集成千兆以太网控制器 在电平上,S5P6818 为 3.3V GPIO, 更方便行业客户设计产品 S5P6818 出色的性能, 配合 G6818 底板, 能够完美展现芯片的绝大多数功能, 可以大大缩短用户的开发周期 G6818 开发板在设计之初, 就充分考虑了 6818 的芯片特性, 同时考虑到了很多实际应用场景 从软硬件整体考虑, 即大大节约了用料成本, 又很好地将芯片本身的性能发挥到极致, 对企业用户具有非常大的借鉴意义 G6818 和 G4418 核心板的尺寸大小 引脚定义完全一样, 两者配套的底板完全一样 这样的设计, 只需换核心板, 无需改动底板, 大大方便客户的产品开发和升级 G6818 核心板具有以下特性 : 最佳尺寸, 即保证精悍的体积又保证足够的 GPIO 口, 仅 52mm*52mm 使用 x-powers 的 AXP228 PMU 电源管理设计, 保证工作稳定可靠 支持多种品牌, 多种容量的 emmc, 默认使用东芝 8GB emmc(19nm MLC 工艺 ) 使用单通道 DDR3 设计, 默认支持 1GB 容量, 可定制 2GB 容量 支持电源休眠唤醒 支持 android5.1,linux + qt,ubuntu 操作系统 板载千兆有线以太网 产品稳定可靠, 拷机 7 天 7 夜不死机 1.2 特性参数外观核心板尺寸引脚间距引脚焊盘尺寸引脚数量板层 结构参数邮票孔方式 52mm*52mm*3mm 1.1mm 1.3mm*0.7mm 184 PIN 8 层

6 CPU 主频内存存储器电源 IC 以太网 系统配置 S5P 位八核 1.4GHz 标配 1GB, 可定制 2GB 4GB/8GB/16GB emmc 可选, 标配 8GB 使用 AXP228, 支持动态调频, 库仑计等使用 RTL8211E 千兆以太网 PHY LCD 接口 Touch 接口音频接口 SD 卡接口 emmc 接口以太网接口 USB HOST 接口 USB OTG 接口 UART 接口 PWM 接口 IIC 接口 SPI 接口 ADC 接口 Camera 接口 HDMI 接口 VGA 接口启动配置接口 接口参数同时支持 TTL LVDS MIPI 接口输出电容触摸, 可使用 USB 或串口扩展电阻触摸 IIS 接口, 支持录放音 2 路 SDIO 输出通道板载 emmc 接口, 管脚不另外引出支持千兆以太网一路 HOST2.0, 一路 HSIC 一路 OTG2.0 4 路串口 2 路 PWM 3 路 IIC 1 路 SPI 3 路 ADC 检测 1 路 BT656/BT601,1 路 MIPI 输入高清音视频输出接口, 音视频同步输出使用 LCD 输出接口扩展无需启动配置, 核心板自动适配 电气特性 输入电压 3.7~5.5V( 推荐使用 5V 输入 ) 输出电压 3.3V/4.2V( 可用于底板供电及电池充电 ) 储存温度 -40~80 度 工作温度 -30~70 度

7 1.3 核心板外观 核心板正面图

8 核心板背面图 1.4 核心板结构图 核心板结构尺寸及管脚排列

9 1.5 底板外观 详细参数请参考 G6818 开发板简介文档 G6818 硬件手册.doc 正面图 (G6818 与 G4418 采用相同的底板 )

10 第 2 章引脚定义 2.1 核心板引脚定义 1 核心板引脚定义 引脚编号 信号 1 引脚编号 信号 1 VCC3P3_SYS 24 LCD_R5 2 MCU_BACKLIGHT_PWM 25 LCD_R6 3 MCU_TOUCH_INT 26 LCD_R7 4 MCU_NRESETOUT 27 LCD_G0 5 MCU_VG_EN 28 LCD_G1 6 MCU_SDA_2 29 LCD_G2 7 MCU_SCL_2 30 LCD_G3 8 MCU_SDA_1 31 LCD_G4 9 MCU_SCL_1 32 LCD_G5 10 USBHSIC_DATA 33 LCD_G6 11 USBHSIC_STROBE 34 LCD_G7 12 MCU_USB_HOST_D- 35 LCD_B0 13 MCU_USB_HOST_D+ 36 LCD_B1 14 MCU_OTG_PWRON 37 LCD_B2 15 MCU_USB- 38 LCD_B3 16 MCU_USB+ 39 LCD_B4 17 DC5V_OTG 40 LCD_B5 18 MCU_USB_ID 41 LCD_B6 19 LCD_R0 42 LCD_B7 20 LCD_R1 43 LCD_DE 21 LCD_R2 44 LCD_HSYNC 22 LCD_R3 45 LCD_VSYNC 23 LCD_R4 46 LCD_CLK 2.2 核心板引脚定义 2 核心板引脚定义 引脚编号 信号 1 引脚编号 信号 47 VCC1P0_CORE_DC 70 GMAC_MDIO 48 VCC1P0_CORE_DC 70 PHY_INT 49 VBAT 72 GMAC_TXD3 50 VBAT 73 GMAC_TXD2 51 GND 74 GMAC_TXD1 52 GND 75 GMAC_TXD0 53 VBAT_SYS 76 GMAC_TXEN 54 VBAT_SYS 77 GMAC_TXER

11 55 DCIN 78 MCU_SCL_0 56 DCIN 79 MCU_SDA_0 57 MCU_PWREN_SYS 80 MCU_HDMI_CEC 58 DLDO3 81 MCU_HDMI_HPD 59 DLDO2 82 MCU_HDMI_TXCN 60 ELDO3 83 MCU_HDMI_TXCP 61 GMAC_RXCLK 84 MCU_HDMI_TX0N 62 GMAC_TXCLK 85 MCU_HDMI_TX0P 63 GMAC_RXD0 86 MCU_HDMI_TX1N 64 GMAC_RXD1 87 MCU_HDMI_TX1P 65 GMAC_RXD2 88 MCU_HDMI_TX2N 66 GMAC_RXD3 89 MCU_HDMI_TX2P 67 GMAC_RXDV 90 GND 68 GMAC_MDC 91 MCU_LVDS_CLKM 69 PHY_RST 92 MCU_LVDS_CLKP 2.3 核心板引脚定义 3 核心板引脚定义 引脚编号 信号 1 引脚编号 信号 93 MCU_LVDS_Y3M 116 MIPIDSI_DN1 94 MCU_LVDS_Y3P 117 MIPIDSI_DP1 95 MCU_LVDS_Y2M 118 MIPIDSI_DN0 96 MCU_LVDS_Y2P 119 MIPIDSI_DP0 97 MCU_LVDS_Y1M 120 MIPIDSI_DNCLK 98 MCU_LVDS_Y1P 121 MIPIDSI_DPCLK 99 MCU_LVDS_Y0M 122 MCU_I2S_MCLK 100 MCU_LVDS_Y0P 123 MCU_I2S_BCK 101 GND 124 MCU_I2S_SDIN 102 MIPIDSI_DP3 125 MCU_I2S_SDOUT 103 MIPIDSI_DN3 126 MCU_I2S_LRCK 104 MIPIDSI_DP2 127 MCU_HP_DET 105 MIPIDSI_DN2 128 CAM_H 106 MIPIDSI_DP1 129 CAM_V 107 MIPIDSI_DN1 130 CAM_CLK 108 MIPIDSI_DP0 131 CAM_D0 109 MIPIDSI_DN0 132 CAM_D1 110 MIPIDSI_DPCLK 133 CAM_D2 111 MIPIDSI_DNCLK 134 CAM_D3 112 MIPIDSI_DN3 135 CAM_D4 113 MIPIDSI_DP3 136 CAM_D5 114 MIPIDSI_DN2 137 CAM_D6

12 115 MIPIDSI_DP2 138 CAM_D7 2.4 核心板引脚定义 4 核心板引脚定义 引脚编号 信号 1 引脚编号 信号 139 CAM_PD 162 GPIOC7 140 CAM_RST 163 GPIOB8 141 CAM_PN 164 GPIOB9 142 MCU_CAM1_MCLK 165 GPIOC UARTRXD3 166 GPIOA UARTTXD3 167 PWM2 145 UARTRXD2 168 IR 146 UARTTXD2 169 VCC1P8_RTC 147 UARTRXD1 170 MCU_SD1_CD 148 UARTTXD1 171 MCU_SD1_CLK 149 UARTRXD0 172 MCU_SD1_CMD 150 UARTTXD0 173 MCU_SD1_D0 151 MCU_SPITXD0 174 MCU_SD1_D1 152 MCU_SPIFRM0 175 MCU_SD1_D2 153 MCU_SPICLK0 176 MCU_SD1_D3 154 MCU_SPIRXD0 177 MCU_SD0_CD 155 MCU_SPI_WP 178 MCU_SD0_D3 156 MCU_KEY_VOLDN 179 MCU_SD0_D2 157 MCU_KEY_VOLUP 180 MCU_SD0_D1 158 MCU_SEN0_INT 181 MCU_SD0_D0 159 MCU_NRSETIN 182 MCU_SD0_CMD 160 MCU_PWRKEY 183 MCU_SD0_CLK 161 ADC0 184 GND 2.5 核心板引脚定义 5 扩展 IO, 核心板原理图中以下部分 :9 个可用 IO (CVBS_OUT 在 G4418 上没有,G6818 核心板上有引出 )

13 第 3 章硬件设计 3.1 电源设计 G6818 核心板的 PMU 采用的是 x-powers 的 AXP228 G6818 核心板提供两种电源输入方式, 第一种通过 5V/2A 电源输入, 给核心板的 脚供电 ; 第二种通过 3.5 到 4.2V 单节锂电池, 给核心板的 脚供电 也可以同时供电, 核心板上板载电池充电芯片, 它将提供整个电源充放电管理 如果使用电源适配器供电, 考 虑到芯片工作的峰值电流, 电流需要保证有 1A 另外, 核心板的第 脚为电源适配器和电池的公共输出端, 电平约 3.5 到 5V, 随外围供电电平变化, 它可用于给底板供电 第 1 脚为 3.3V 电源输出端, 可以用于给底 板供电, 但是在核心板休眠后,3.3V 会关电, 唤醒后电压恢复正常 第 169 脚为 RTC 电 源输入端, 可在底板上接后备电池, 保证 CPU 时钟不会丢失 各电压对应管脚分布如下 : 脚 : 电池输入端, 接单节 4.2V 锂电池, 不需要电池时悬空即可 脚 : 电源适配器输入端,4.5 到 5.5V/2A 输入 脚 : 电池和电源适配器公共电平输出端, 其电压由电池及电源适配器决定, 可用 于底板供电 169 脚 : 后备电池电源输入, 如需实时时钟, 需在该管脚外接后备电池, 电压为 1.8V; 默认核心板上已经对该管脚进行供电, 但不具备掉电保存功能 如不需实时时钟, 悬空该脚即 可 ; 1 脚 :3.3V 输出, 可用于底板供电 在核心板休眠时, 该电平会关闭, 唤醒后恢复 3.2 USB 设计 S5P6818 有一路 HOST 口, 一路 HSIC 口及一路 OTG 口, 其中 OTG 口即可作 HOST 口也可作 DEVICE 用, 即标准的 OTG 口 HOST 口可直接接 USB 外设,HSIC 口需要加桥接芯片如 USB3503,USB4640 等之后才转换为标准的 HOST 接口 在 PCB 走线时, 核心板的第 管脚, 即 USB_HOST_D- USB_HOST_D+ 管脚为一对差分线, 第 管脚, 即 OTG_USB- OTG_USB+ 管脚为一对差分线, 他们必须走等长差分线, 且阻抗匹配为 90 欧, 否则会出现 USB 传输不稳定的现象 3.3 HDMI 设计 S5P6818 芯片自带 HDMI 控制器, 支持 HDMI1.4 协议 核心板上第 82 到 89 共 8 个管脚,4 对差分线, 必须走等长差分线, 且阻抗匹配为 100 欧, 否则会出现 HDMI 画面丢色, 断断续续等问题 3.4 LVDS 设计 S5P6818 芯片自带 RGB 和 LVDS 接口的 LCD 控制器,LVDS 为差分信号线, 适合驱 动分辨率较高的液晶屏 它包括 5 组传输线, 其中 4 组为数据线, 对应核心板的 93 到 100

14 脚, 另一组为时钟线, 对应核心板的 91 和 92 管脚 LVDS 接口能够提供很高的数据传输率的同时, 保证很低的功耗, 其数据速率可以达到 几百 Mbps 到 2Gbps 在走线时,5 组传输线必须走等长差分线, 且阻抗匹配为 100 欧 3.5 MIPI 设计 S5P6818 支持 DSI 和 CSI,DSI 对应核心板的第 102 到 111 脚, 用于接 MIPI 接口的显示屏 ;CSI 对应核心板的第 112 到 121 脚, 用于接 MIPI 接口的摄相头 MIPI 接口的数据传输率要远大于 LVDS 接口, 在走线时一定要走等长差分线, 且阻抗匹配为 100 欧

15 第 4 章产品线介绍 4.1 核心板系列 G4418 ( 主控为三星 4418) G6818 ( 主控为三星 6818) G210 ( 主控为三星 210) M9 核心板 ( 主控为高通 8916) 4.2 开发板系列 G4418 开发板 ( 主控为三星 4418) G6818 开发板 ( 主控为三星 6818) G210 开发板 ( 主控为三星 210) M9 开发板 ( 主控为高通 8916) 4.3 卡片电脑系列 G4418 卡片电脑 ( 主控为三星 4418) G6818 卡片电脑 ( 主控为三星 6818) G3188 卡片电脑 ( 主控为瑞芯微 3188) 说明 : 产品详细规格, 以及更多其他产品请关注葡萄雨技术官方网站或与我们联系

版权声明 本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 网站下载最

版权声明 本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在  网站下载最 G4418 核心板简介 深圳葡萄雨技术有限公司 www.graperain.cn 版权声明 本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 http://www.graperain.cn/ 网站下载最新手册或与我司销售联系取得,

More information

xunwei

xunwei 迅为电子 4418/6818 核心板规格手册书 迅为官网 : IMX6 社区 : bbs.topeetboard.com 销售热线 : 010-58957586 传真 : 010-58957586 售后支持 : 010-58957738 官方旗舰店 : http://arm-board.taobao.com 目录 处理器介绍... - 3-4418/6818 核心板... - 4 - 服务支持...

More information

版权声明本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 网站下载最新

版权声明本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在   网站下载最新 G6818 插针式开发板硬件手册 深圳葡萄雨技术有限公司 www.graperain.cn 版权声明本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 http://www.graperain.cn/ 网站下载最新手册或与我司销售联系取得,

More information

版权声明 本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切 权力 非经葡萄雨技术有限公司同意(书面形式) 任何单位及个人不 得擅自摘录本手册部分或全部 违者我们将追究其法律责任 敬告 在售开发平台的手册会经常更新 请在http://www.graperain.cn/ 网站下载最新手册或与我司

版权声明 本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切 权力 非经葡萄雨技术有限公司同意(书面形式) 任何单位及个人不 得擅自摘录本手册部分或全部 违者我们将追究其法律责任 敬告 在售开发平台的手册会经常更新 请在http://www.graperain.cn/ 网站下载最新手册或与我司 G4418 插针式开发板硬件手册 深圳葡萄雨技术有限公司 www.graperain.cn 版权声明 本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切 权力 非经葡萄雨技术有限公司同意(书面形式) 任何单位及个人不 得擅自摘录本手册部分或全部 违者我们将追究其法律责任 敬告 在售开发平台的手册会经常更新 请在http://www.graperain.cn/ 网站下载最新手册或与我司销售联系取得

More information

G3399 邮票孔核心板简介 深圳葡萄雨技术有限公司

G3399 邮票孔核心板简介 深圳葡萄雨技术有限公司 G3399 邮票孔核心板简介 深圳葡萄雨技术有限公司 www.graperain.cn 版权声明 本手册版权归属深圳葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 http://www.graperain.cn/ 网站下载最新手册或与我司销售联系取得,

More information

版权声明本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 网站下载最新

版权声明本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在   网站下载最新 G3288 硬件手册 深圳葡萄雨技术有限公司 www.graperain.cn 版权声明本手册版权归属深圳市葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 http://www.graperain.cn/ 网站下载最新手册或与我司销售联系取得,

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源 Firefly-RK3128 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-05-15 版本更新日期更新内容 V1.0 2018-05-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 1.2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...9 1 CON1 SIP-42PIN-2D54...9

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D J10 Front-Came

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D J10 Front-Came Firefly-PX3-SE 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-01-15 版本更新日期更新内容 V1.0 2018-01-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...8 1 CON1 SIP-42PIN-2D54...8

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

让科技更简单, 让生活更智能 天启科技 天启科技 ROC-RK3399-PC 产品规格书 V1.0 版本更新日期更新内容 V 原始版本 地址 : 广东省中山市东区中山四路 57 号宏宇大厦 1 座 全国服务热线

让科技更简单, 让生活更智能 天启科技 天启科技 ROC-RK3399-PC 产品规格书 V1.0 版本更新日期更新内容 V 原始版本 地址 : 广东省中山市东区中山四路 57 号宏宇大厦 1 座 全国服务热线 ROC-RK3399-PC 产品规格书 V1.0 版本更新日期更新内容 V1.0 2018-08-29 原始版本 - 1 - 目录 一 产品简介... 4 1 产品概述... 4 2 接口描述... 6 二 硬件规格... 8 三 主板尺寸... 10 四 主板配套外壳... 11 五 接口定义... 12 1. 双排 30PIN 2.0 间距扩展接口 (GPIO)... 12 2 双排 30PIN

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 接口定义 GPIO 扩展接口 摄像头接口 六 系统软件 七 电气性能 八 主板配套外壳... 12

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 接口定义 GPIO 扩展接口 摄像头接口 六 系统软件 七 电气性能 八 主板配套外壳... 12 Firefly-RK3288 产品规格书 V1.1 版本更新日期更新内容 V1.0 2017-09-29 原始版本 V1.1 2018-08-24 增加主板适配的外壳介绍 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 接口定义...8 1 GPIO 扩展接口...8 2 摄像头接口... 10

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们 ROC-RK3328-CC 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-04-02 版本更新日期更新内容 V1.0 2018-04-02 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件... 10 七 电气性能... 10 八

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

A31

A31 简 介 是 美 睿 视 讯 推 出 的 一 款 基 于 全 志 科 技 公 司 A31 芯 片 的 开 发 系 统, 向 用 户 提 供 Android4.4 和 Linux3.3 开 发 包 蜂 鸟 开 发 系 统 是 一 款 基 于 A31 的 微 型 板, 主 板 周 围 有 两 个 USB HOST 一 个 OTG, 一 个 千 兆 网 口, 同 时 拥 有 Line-in 和 HDMI 高

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

A80 H8 english

A80 H8 english H8 development kit brief introduction H8 is based on Allwinner A80 SoC is released by Merrii, we can provide users with Android4.4.2 and inux3.4.39 to develop. OptimusBoard is a mini board based on A80,

More information

版 本 说 明 序 号 时 间 作 者 说 明 Eric Zhang 创 建 Eric Zhang 修 改, 增 加 了 A6 V3 硬 件 说 明 和 A7 的 说 明 Eric zhang 修 正 错 误 部 分 4

版 本 说 明 序 号 时 间 作 者 说 明 Eric Zhang 创 建 Eric Zhang 修 改, 增 加 了 A6 V3 硬 件 说 明 和 A7 的 说 明 Eric zhang 修 正 错 误 部 分 4 A6/A7/A6C 模 组 用 户 使 用 手 册 (GSM/GPRS 四 频 段 +GPS+AGPS) 2016 年 2 月 28 日 1 版 本 说 明 序 号 时 间 作 者 说 明 1 2016-02-26 Eric Zhang 创 建 2 2016-06-20 Eric Zhang 修 改, 增 加 了 A6 V3 硬 件 说 明 和 A7 的 说 明 3 2016-06-28 Eric

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 FPGA 黑金开发平台 用户手册 AC616 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 黑金动力社区 2 / 26 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 ) 有限公司所有, 并保留一切权力 非经本公司 ( 书面形式 ), 同意, 任何单位及个人不得擅自摘录或修改本手册部分或全部内容, 违者我们将追究其法律责任 感谢您购买黑金开发板, 在使用产品之前, 请仔细地阅读该手册并且确保知道如何正确使用该产品,

More information

目录 一 产品简介 产品概述 应用场景... 4 二 接口描述... 5 三 硬件规格... 7 四 PCB 尺寸... 9 五 接口定义 edp MIPI 双 LVDS BL_CTL LCD

目录 一 产品简介 产品概述 应用场景... 4 二 接口描述... 5 三 硬件规格... 7 四 PCB 尺寸... 9 五 接口定义 edp MIPI 双 LVDS BL_CTL LCD AIO-3399J 一体板 产品规格书 V1.2 版本 更新日期 更新内容 V1.0 2017-09-29 原始版本 V1.1 2017-12-22 更新了接口标识及 PCB 尺寸 V1.2 2018-08-24 增加了主板适配的外壳介绍 - 1 - 目录 一 产品简介... 4 1 产品概述... 4 2 应用场景... 4 二 接口描述... 5 三 硬件规格... 7 四 PCB 尺寸...

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

视频处理开发板 用户手册

视频处理开发板     用户手册 视频处理开发板 用户手册 ALINX822 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 功能简介... 3 功能实现... 5 FPGA 核心板... 7 ( 一 ) 简介... 7 ( 二 ) DDR2 引脚分配... 9 ( 三 ) FPGA 供电电源... 10 ( 四 ) 扩展口... 12 ( 五 ) 电源接口... 15 ( 六 ) JTAG 接口... 15 ( 七 )

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

岳西职教中心

岳西职教中心 省 级 示 范 中 等 职 业 学 校 复 评 申 报 材 料 岳 西 县 职 业 技 术 教 育 中 心 二 00 九 年 九 月 目 录 一 关 于 要 求 对 岳 西 县 职 教 中 心 申 报 省 级 示 范 中 等 职 业 学 校 工 作 进 行 复 评 的 报 告 二 关 于 成 立 岳 西 县 争 创 省 级 示 范 中 等 职 业 学 校 领 导 小 组 的 通 知 三 关 于 成

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

Microsoft Word - 134招标文件.doc

Microsoft Word - 134招标文件.doc 威 海 市 政 府 采 购 招 标 文 件 采 购 编 号 :WHGP2016-134 采 购 项 目 : 网 站 建 设 采 购 方 式 : 公 开 招 标 山 东 省 鲁 成 招 标 有 限 公 司 2016 年 5 月 20 日 目 录 第 一 部 分 招 标 公 告 2 第 二 部 分 投 标 人 须 知 4 第 三 部 分 开 标 评 标 定 标 13 第 四 部 分 采 购 项 目 说

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Microsoft Word - Yang Yong report supl

Microsoft Word - Yang Yong report supl 江 河 之 痛 横 断 山 水 电 建 设 与 南 水 北 调 西 线 调 水 的 隐 忧 杨 勇 / 文 图 2006 年 7 月 初, 西 北 六 省 区 召 开 南 水 北 调 西 线 工 程 座 谈 会, 会 上 通 报 了 西 线 调 水 一 期 工 程 进 展 情 况, 并 着 重 讨 论 工 程 受 水 区 规 划 与 会 省 区 反 映, 随 着 该 区 社 会 经 济 的 迅 速

More information

铁岭卫~1

铁岭卫~1 铁 岭 卫 生 职 业 学 院 高 等 职 业 教 育 人 才 培 养 质 量 年 度 报 告 (2016) 目 录 第 一 部 分 学 院 基 本 情 况 1.1 学 院 概 况 学 院 的 办 学 指 导 思 想 和 办 学 定 位 学 院 办 学 条 件 指 标 办 学 经 费 政 府 履 责 情 况 第 二 部 分 人 才 培 养 工 作 专 业 建 设 专 业 布 局 与 设 置 人 才

More information

Hi3518EV200 HD IP Camera SoC Hi3516A

Hi3518EV200 HD IP Camera SoC Hi3516A Hi3518EV200 HD IP Camera SoC Hi3516A - - - - www.lestina.com www.lestina.com.cn 59 60 www.lestina.com www.lestina.com.cn Hi3516CV200 HD IP Camera SoC www.lestina.com www.lestina.com.cn 61 62 www.lestina.com

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

公安机关业务管理与执法实务全书(八).doc

公安机关业务管理与执法实务全书(八).doc ............................................. I ........................... ( )......... II ,, , , ( ) ( ) ( ) ( ) : ( ) ; ( ) ; ( ) ( ) ; ( ) ; ( ) ( ) ; ( ),, : , : ( ) ; ( ), ; ( ) ; ( ) : ( ) ; ( )

More information

ESM928x V2.0数据手册

ESM928x V2.0数据手册 Emtronix ESMARC 928x 工控主板数据手册 1. 概述 感谢您购买英创信息技术有限公司的产品 :ESMARC 928x 系列工控主板 ESMARC 是由英创公司发展的一套嵌入式主板与应用底板的连接规范, 意为英创智能模块架构 (Emtronix Smart Module Architecture, 以下简称 ESMARC ),ESMARC 928x 工控主板是结构上符合 ESMARC

More information

Microsoft Word - 附件11.天然胶乳橡胶避孕套产品注册技术审查指导原则.doc

Microsoft Word - 附件11.天然胶乳橡胶避孕套产品注册技术审查指导原则.doc 天 然 胶 乳 橡 胶 避 孕 套 产 品 注 册 技 术 审 查 指 导 原 则 本 指 导 原 则 旨 在 指 导 和 规 范 天 然 胶 乳 橡 胶 避 孕 套 产 品 的 技 术 审 评 工 作, 帮 助 审 评 人 员 理 解 和 掌 握 该 类 产 品 原 理 / 机 理 结 构 性 能 预 期 用 途 等 内 容, 把 握 技 术 审 评 工 作 基 本 要 求 和 尺 度, 对 产

More information

1 适 用 范 围 本 使 用 说 明 书 适 用 于 强 化 木 地 板 的 铺 装 前 准 备 铺 装 及 使 用 过 程 2 主 要 特 征 2.1 定 义 浸 渍 纸 层 压 木 质 地 板 ( 商 品 名 称 为 强 化 木 地 板 ) 是 以 一 层 或 多 层 专 用 纸 浸 渍 热

1 适 用 范 围 本 使 用 说 明 书 适 用 于 强 化 木 地 板 的 铺 装 前 准 备 铺 装 及 使 用 过 程 2 主 要 特 征 2.1 定 义 浸 渍 纸 层 压 木 质 地 板 ( 商 品 名 称 为 强 化 木 地 板 ) 是 以 一 层 或 多 层 专 用 纸 浸 渍 热 前 言 木 地 板 使 用 说 明 书 共 分 6 部 分, 分 别 为 实 木 地 板 使 用 说 明 书 多 层 实 木 复 合 地 板 使 用 说 明 书 三 层 实 木 复 合 地 板 使 用 说 明 书 强 化 木 地 板 使 用 说 明 书 竹 地 板 使 用 说 明 书 软 木 类 地 板 使 用 说 明 书 本 部 分 为 强 化 木 地 板 使 用 说 明 书, 涉 及 内 容 为

More information

目录 一 产品简介 产品概述 应用场景... 4 二 接口描述... 5 三 硬件规格... 7 四 PCB 尺寸... 9 五 接口定义 J27 EDP J26 MIPI CON1 双路 LVDS 输出 J

目录 一 产品简介 产品概述 应用场景... 4 二 接口描述... 5 三 硬件规格... 7 四 PCB 尺寸... 9 五 接口定义 J27 EDP J26 MIPI CON1 双路 LVDS 输出 J AIO-3288J 一体板 产品规格书 V1.3 版本 更新日期 更新内容 V1.0 2017-09-07 原始版本 V1.1 2017-10-23 HUB_USB5 每个座子的 (2) 脚由原来的 HUB_DM 改为 HUB_DP 等 V1.2 2018-01-22 更新接口定义和 PCB 尺寸 V1.3 2018-08-24 增加适配主板的外壳介绍 - 1 - 目录 一 产品简介... 4 1

More information

Microsoft Word - 征求意见书.doc

Microsoft Word - 征求意见书.doc 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 征 求 意 见 书 经 新 乡 市 卫 滨 区 政 府 采 购 管 理 部 门 批 准, 河 南 卓 越 工 程 管 理 有 限 公 司 将 于 近 期 就 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 进 行 公 开 招 标 现 将 有 关 项 目 需 求 公 布 如

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

语 考 试 考 务 工 作 的 汉 考 国 际 教 育 科 技 ( 北 京 ) 有 限 公 司 ( 以 下 简 称 汉 考 国 际 ) 组 织 的 培 训 和 网 络 考 试 系 统 安 装 指 导, 并 签 署 汉 语 网 络 考 试 补 充 服 务 协 议 第 六 条 拟 新 申 请 成 立 汉

语 考 试 考 务 工 作 的 汉 考 国 际 教 育 科 技 ( 北 京 ) 有 限 公 司 ( 以 下 简 称 汉 考 国 际 ) 组 织 的 培 训 和 网 络 考 试 系 统 安 装 指 导, 并 签 署 汉 语 网 络 考 试 补 充 服 务 协 议 第 六 条 拟 新 申 请 成 立 汉 汉 语 网 络 考 试 国 内 考 点 管 理 办 法 第 一 章 总 则 第 一 条 为 促 进 汉 语 网 络 考 试 快 速 健 康 发 展, 调 动 各 考 试 承 办 机 构 积 极 性, 根 据 国 家 汉 办 汉 语 考 试 国 内 考 点 审 批 办 法 特 制 订 本 管 理 办 法 第 二 条 中 国 国 内 大 陆 地 区 汉 语 网 络 考 试 考 点, 必 须 遵 守 本

More information

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 :

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 : Revision History Draft Date Revision No. Description 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/15

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

FPGA视频处理开发平台 用户手册

FPGA视频处理开发平台     用户手册 FPGA 视频处理开发平台用户手册 AV6045 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 一 简介... 4 二 功能实现... 7 ( 一 ) 视频输入... 7 ( 二 ) 视频输出... 9 三 FPGA 核心板... 10 ( 一 ) 简介... 11 ( 二 ) DDR3 引脚分配... 错误! 未定义书签 ( 三 ) SPI Flash... 错误!

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

C 制 造 业

C 制 造 业 C 制 造 业 本 门 类 包 括 13 43 大 类 指 经 物 理 变 化 或 化 学 变 化 后 成 为 了 新 的 产 品, 不 论 是 动 力 机 械 制 造, 还 是 手 工 制 做 ; 也 不 论 产 品 是 批 发 销 售, 还 是 零 售, 均 视 为 制 造 建 筑 物 中 的 各 种 制 成 品 零 部 件 的 生 产 应 视 为 制 造 但 在 建 筑 预 制 品 工 地,

More information

应 在 投 标 文 件 中 提 交 如 实 的 情 况 说 明 3 具 备 履 行 合 同 所 必 需 的 设 备 和 专 业 技 术 能 力 的 证 明 材 料 或 书 面 声 明 4 参 加 政 府 采 购 活 动 前 3 年 内 在 经 营 活 动 中 没 有 重 大 违 法 记 录 的 书

应 在 投 标 文 件 中 提 交 如 实 的 情 况 说 明 3 具 备 履 行 合 同 所 必 需 的 设 备 和 专 业 技 术 能 力 的 证 明 材 料 或 书 面 声 明 4 参 加 政 府 采 购 活 动 前 3 年 内 在 经 营 活 动 中 没 有 重 大 违 法 记 录 的 书 湖 里 区 青 少 年 校 外 活 动 中 心 体 育 器 材 项 目 更 改 通 知 一 原 招 标 文 件 第 9-12 页 投 标 人 须 知 前 附 表 3: 评 标 方 法 评 标 标 准 定 标 原 则 现 全 部 更 改 为 如 下 要 求 投 标 人 须 知 前 附 表 2: 资 格 性 符 合 性 检 查 表 本 须 知 前 附 表 2 集 中 列 示 了 资 格 性 符 合 性

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

电子元件技术发展现状

电子元件技术发展现状 1.0mm1.5mm 2.5Gb/s 5.0Gb/s ( 1.27mm1.0mm0.8mm 0.5mm0.4mm0.3mm) 0.5mm 0.25mm I (IC ) ( ) (THT) (SMT) (MPT) (MEMS) / / 2012-9-19 TFT LCD NPD DisplaySearch 7 LCD 1 2012-10.doc Electronic components 10%8 9

More information

Microsoft Word - 1-3陳詠琳-近代..

Microsoft Word - 1-3陳詠琳-近代.. 近 代 數 字 卦 研 究 考 述 陳 詠 琳 摘 要 所 謂 的 數 字 卦, 乃 指 出 土 文 物 上 某 種 奇 特 的 卜 筮 符 號, 有 學 者 表 示 這 些 符 號 為 數 字, 並 將 之 與 周 易 連 結, 遂 使 此 類 符 號 有 筮 數 易 卦 之 稱, 為 一 門 新 穎 的 易 學 研 究 議 題 張 政 烺 以 奇 數 為 陽, 偶 數 為 陰 的 原 則, 把

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AC7015 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 23 目录 文档版本控制... 2 ( 一 ) 简介... 4 ( 二 ) ZYNQ 芯片... 5 ( 三 ) DDR3 DRAM... 8 ( 四 ) QSPI Flash... 11 ( 五 ) emmc Flash... 13

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

1701.doc

1701.doc -1- -2-1 85 1. -3- -4- -5-85 1,930,897 1% ( 88 ) ( ) ( ) -6- (SUN-800) ( ) 1. / 2. 3. (300,000 km/sec) 4. 5.LCD LCD // 6. RS-232C 7. 8. (9%~30%) 9. / / 10. 11. :110V/220V 50/60Hz / ( AC/90~240V 50/60Hz)

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

untitled

untitled ,, : ; ; ; ; ; ;,, : ( ) : : : ( ) : : : 6 1 6 1 1 : ,,,,,,,,, :,,,,,,, ,,,,,,,,,, ;, ;,,,,,,,,, : 1. 2.,,, 3.,,,,,,,, ;,,,,, : 1.,, 2.,, ;,,,, 3.,,,,,, 4.,,, ;,,, ;,,,,,,,,,,,,,, ;,,,,,,,, : 1. :,,,

More information

第 四 节 教 室 管 理 27 第 五 节 实 验 室 管 理 27 第 六 节 考 试 管 理 28 第 七 节 公 寓 管 理 30 第 八 节 网 络 管 理 34 第 九 节 图 书 馆 管 理 36 第 五 章 学 生 组 织 41 第 一 节 学 生 会 41 第 二 节 班 委 团

第 四 节 教 室 管 理 27 第 五 节 实 验 室 管 理 27 第 六 节 考 试 管 理 28 第 七 节 公 寓 管 理 30 第 八 节 网 络 管 理 34 第 九 节 图 书 馆 管 理 36 第 五 章 学 生 组 织 41 第 一 节 学 生 会 41 第 二 节 班 委 团 2014 年 学 生 手 册 目 录 学 校 简 介 1 校 训 4 校 徽 6 校 歌 7 山 东 农 业 大 学 学 生 管 理 规 定 8 第 一 章 总 则 8 第 二 章 权 利 与 义 务 9 第 三 章 学 籍 管 理 10 第 一 节 入 学 与 注 册 10 第 二 节 课 程 考 核 与 成 绩 记 载 11 第 三 节 转 专 业 与 转 学 14 第 四 节 休 学 与 复

More information

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产 ,, LifeBook Microsoft Windows MS-DOS Windows NT Windows XP Windows Vista Windows 7 Windows 8 Windows 8.1 Microsoft Corporation Phoenix Phoenix Technologies Corporation Fujitsu Limited 2015,,, (1) (2),

More information

版本说明 序号时间作者说明 Eric Zhang 创建 Eric Zhang 修改, 增加了 A6 V3 硬件说明和 A7 的说明 Eric zhang 修正错误部分 Eric zhang 增加

版本说明 序号时间作者说明 Eric Zhang 创建 Eric Zhang 修改, 增加了 A6 V3 硬件说明和 A7 的说明 Eric zhang 修正错误部分 Eric zhang 增加 A5/A6/A7/A6C/A20 模组用户使用手册 (GSM/GPRS 四频段 +GPS+AGPS) 2016 年 2 月 28 日 1 版本说明 序号时间作者说明 1 2016-02-26 Eric Zhang 创建 2 2016-06-20 Eric Zhang 修改, 增加了 A6 V3 硬件说明和 A7 的说明 3 2016-06-28 Eric zhang 修正错误部分 4 2016-06-29

More information

1106門市-NB-封面封底

1106門市-NB-封面封底 筆記型電腦 2011 年 6 月門市型錄 Windows.. Acer Windows 7. Windows.. Acer Windows 7. Micro-SD 3.0 Flash 16 : 10 1280x800 USB/Micro-USB/HDMI USB Office Micro-SD /Micro-SD Flash FlashFacebookFlash 16 10 1024x7681280x8004

More information

GT-204A振弦读数仪使用说明书.docx

GT-204A振弦读数仪使用说明书.docx GT-204A F 25025000 0.1 Hz 5005000 0.1-30+150 0.1 FHZ 2 10-3 mah 2.2.1 181213 cm 2.2.2 1Kg 2.2.3 GT-204A a) b) c) 1 2 1 1 256 10000 2 4 LCD 5 3 6 7 8 A 01-256 B 9 A B 10 A B GT-204A 2 29:00001 29 00001

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

COP中文范本

COP中文范本 四 川 宏 达 ( 集 团 ) 有 限 公 司 全 球 契 约 年 度 进 展 报 告 2010 年 5 月 13 日 目 录 高 管 致 辞... 1 公 司 简 介... 2 一 经 济 绩 效... 3 1. 体 系 与 政 策... 3 2. 工 作 与 成 果... 3 3. 反 馈 与 评 价... 3 二 环 境 绩 效... 3 1. 体 系 与 政 策... 4 2. 工 作 与

More information

冶金企业安全生产监督管理规定

冶金企业安全生产监督管理规定 冶 金 企 业 安 全 生 产 监 督 管 理 规 定 国 家 安 全 生 产 监 督 管 理 总 局 国 家 安 全 生 产 监 督 管 理 总 局 令 第 26 号 冶 金 企 业 安 全 生 产 监 督 管 理 规 定 已 经 2009 年 8 月 24 日 国 家 安 全 生 产 监 督 管 理 总 局 局 长 办 公 会 议 审 议 通 过, 现 予 公 布, 自 2009 年 11 月

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 张 工 培 训 注 册 消 防 工 程 师 考 试 免 费 宣 讲 课 土 木 建 筑 培 训 领 导 者 1 关 于 资 格 考 试 科 目 及 报 考 条 件 1 什 么 时 候 考 试? 一 级 和 二 级 是 同 一 时 间 考 试 吗? 根 据 公 安 部 消 防 局 官 方 答 疑,2015 年 一 级 注 册 消 防 工 程 师 资 格 考 试 时 间 和 报 名 方 式 计 划 由

More information

<4D6963726F736F667420576F7264202D20BAECB1A6C0F6A3BAB7C7B9ABBFAAB7A2D0D0B9C9C6B1C4BCBCAFD7CABDF0CAB9D3C3B5C4BFC9D0D0D0D4B1A8B8E62E646F63>

<4D6963726F736F667420576F7264202D20BAECB1A6C0F6A3BAB7C7B9ABBFAAB7A2D0D0B9C9C6B1C4BCBCAFD7CABDF0CAB9D3C3B5C4BFC9D0D0D0D4B1A8B8E62E646F63> 证 券 代 码 :002165 证 券 简 称 : 红 宝 丽 公 告 编 号 :2010-022 南 京 红 宝 丽 股 份 有 限 公 司 非 公 开 发 行 股 票 募 集 资 金 使 用 的 可 行 性 报 告 二 〇 一 〇 年 十 月 1 目 录 第 一 节 募 集 资 金 使 用 计 划 3 第 二 节 本 次 募 集 资 金 投 资 项 目 可 行 性 分 析 3 第 三 节 本 次

More information

调 查 概 述, 调 查 主 要 发 现 为 此 绿 色 和 平 主 张 01 调 查 背 景 02 环 境 信 息 公 开 的 法 理 基 础 及 实 践 03 中 国 的 环 境 信 息 公 开 办 法 ( 试 行 ) 调 查 对 象 04 05 调 查 方 法 06 07 免 责 声 明 : 08 09 调 查 主 要 结 果 及 分 析 10 11 12 超 越 第 二 十 一 条 企 业

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

DVK530/531扩展板

DVK530/531扩展板 DVK710 扩展板 驱动移植手册 2014.06.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.06.03 初始发布 深圳市微雪电子有限公司 www.waveshare.netii I 目录版权声明... I 版本更新记录...

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

版本说明 序号时间作者说明 Eric Zhang 创建 Eric Zhang 修改, 增加了 A6 V3 硬件说明和 A7 的说明 Eric zhang 修正错误部分 Eric zhang 增加

版本说明 序号时间作者说明 Eric Zhang 创建 Eric Zhang 修改, 增加了 A6 V3 硬件说明和 A7 的说明 Eric zhang 修正错误部分 Eric zhang 增加 A6/A7/A6C/A20 模组用户使用手册 (GSM/GPRS 四频段 +GPS+AGPS) 2016 年 2 月 28 日 1 版本说明 序号时间作者说明 1 2016-02-26 Eric Zhang 创建 2 2016-06-20 Eric Zhang 修改, 增加了 A6 V3 硬件说明和 A7 的说明 3 2016-06-28 Eric zhang 修正错误部分 4 2016-06-29

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

基于i.MX 6的产品设计与应用解决方案

基于i.MX 6的产品设计与应用解决方案 基于 i.mx 6 的产品设计与应用解决方案 深圳市英蓓特科技有限公司 目录 1 公司介绍 2 基于 i.mx 6 相关产品介绍 3 RioTboard 及物联网相关技术介绍 4 i.mx 6 新产品计划 5 基于 i.mx 6 成功案例 Embest 提供设计服务介绍 6 Q&A 公司简介 基于 ARM 的嵌入式工具, 评估板, 工控板及定制服务的国内领先的解决方案提供商 14 年软硬件及系统设计经验在中国有

More information

0523内页正稿-改风格

0523内页正稿-改风格 1100MP 8,999 Dell Axim X50/X50v 300-500 4 Dell 2300MP Dell X50 416MHz 3,599 10-11 Dell E153FP 2 3 4-5 6-7 100 8-9 8-9 10-11 12 Oracle on Dell 13 5 14-15 1400 21001 15 800 x 600 2.2 1.677M 32 E232 1:1.2

More information

untitled

untitled 1 1 2 2/3 3 4 2 1 15mm 1 2 3 3 4 2 1 2 4 1 2 3 4 5 3 6 1 2 4 3 4 5 6 5 6 7 8 7 9 1 2 3 3 3 2 b h 0.168d d 3 2 h d 3 3 8 9 10 11 12 13 14 15 16 17 18 19 20 21 23 1 11 21 2 12 22 3 13 23 4 14 24 5 15 24

More information

X513_Book.book

X513_Book.book : 2.0MP +/- TFT /, / / USB SIM 1 >>> 2, > 3 + -< > 4, (, ) / / / L R * 1 Wap Wap push GSM GPRS ( ) GSM GPRS 2 ...........................4.............................. 4 Micro SD ( )................ 6..........................

More information

修订历史 版本日期原因 V /12/15 正式发布 i

修订历史 版本日期原因 V /12/15 正式发布 i Data Sheet DS01010101 V1.00 Date: 2014/12/15 概述 是广州致远电子股份有限公司开发的基于 M6708 核心板的工业控制主板, 处理器为 i.mx 6DualLite,Cortex -A9 简单双核 板上集成了大量的外设接口, 包括千兆以太网 音频 USB CAN UART HDMI LVDS LCD 等接口, 同时整合的多功能 HD 视频引擎可提供 1080P

More information

K 寸猫眼中文说明书

K 寸猫眼中文说明书 使 用 说 明 书 适 用 于 K-301 系 列 产 品 一 简 介 1 二 标 准 套 装 配 件 1 三 产 品 外 观 构 成 2 四 安 装 说 明 4 五 操 作 说 明 6 六 规 格 参 数 13 七 注 意 事 项 14 感 谢 您 购 买 智 能 防 盗 可 视 猫 眼 门 铃 系 列 产 品, 该 系 列 是 本 公 司 最 新 设 计 的 多 功 能 超 薄 悬 挂 式 智

More information

untitled

untitled Suning Generic LCD TV Service Manual Suning Generic 4 4 46 TV PAL / NTSC. /. a IC b c. 6 9 4 480P 576i 70p 080i 080P W 4 TV (Analog PAL/NTSC-comb-Tuner) Component In (Y,Pb,Pr + L,R) AV In (CVBS -PAL/NTSC

More information

范本上

范本上 揭 阳 市 惠 来 县 中 小 学 教 学 设 备 及 安 装 采 购 项 目 招 标 文 件 ( ) 广 州 有 德 招 标 代 理 有 限 公 司 二 〇 一 五 年 一 月 目 录 一 投 标 邀 请 函... 3 二 投 标 人 须 知... 5 ( 一 ) 说 明...5 ( 二 ) 招 标 文 件...7 ( 三 ) 投 标 文 件 的 编 制...8 ( 四 ) 投 标 文 件 的 递

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

VME

VME VMEbus VME 35 VME VME VME EOL Tsi148 VME PCI-X Marvell 2025 VME Power Architecture VME VME VME VME VME VME VME PCI VME VME VME 32 32 CPU I/O VME VMEbus 20 70 VERSAbus European Microsystems group VERSAbus

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information