修订历史 版本日期原因 V /12/15 正式发布 i

Size: px
Start display at page:

Download "修订历史 版本日期原因 V /12/15 正式发布 i"

Transcription

1 Data Sheet DS V1.00 Date: 2014/12/15 概述 是广州致远电子股份有限公司开发的基于 M6708 核心板的工业控制主板, 处理器为 i.mx 6DualLite,Cortex -A9 简单双核 板上集成了大量的外设接口, 包括千兆以太网 音频 USB CAN UART HDMI LVDS LCD 等接口, 同时整合的多功能 HD 视频引擎可提供 1080P 60fps 视频解码 1080P 30fps 视频编码, 并带有 2D 3D 图形引擎, 可满足消费电子 工业和汽车车载娱乐系统等新一代应用, 以及医疗应用的丰富图形和高响应需求 广州致远电子股份有限公司提供各种成熟的硬件解决方案和丰富软件资源, 完整的软硬件架构使您只需专注于编写产品的应用程序, 具有开发周期短 系统人性化 软件配套完整等特点, 让您能轻松实现 TCP/IP 通信 CAN-bus 现场总线通信 USB/OTG 通信, 和大容量存储等复杂功能, 使嵌入式系统设计更加简洁方便 用户程序可在线升级, 不但使产品更快投入市场, 而且升级简单可靠, 明显增强产品的市场竞争力 产品提供保护设计, 使产品在 EMC 及稳定性方面均具有良好的表现 产品特性 Cortex -A9 简单双核, 工作频率 800MHz; 标配 512MByte DDR3 SDRAM; 标配 4GByte emmc; 1 路 10/100/1000M 以太网通信接口 ; 1 路 USB OTG 通信接口 ; 1 路 USB HOST 通信接口 ; 1 路 HDMI 1 路 LCD 2 路 LVDS 接口 ; 1 路摄像头接口 ; 2 路 CAN-Bus 通信接口 ; 5 路 UART 接口 ; 2 路 SD 接口 ; 1 路音频输入 1 路音频输出 ; 外置独立看门狗定时器 ; 抗干扰性能 : 干扰电压 :4000VP-P; 脉冲周期 :1μs 持续时间 :1ns; 抗静电性能 : 接触放电 8kV, 空气放电 15kV ( 工业四级标准 ); 抗震性能 :5Hz 至 9Hz 单调幅 :3.5mm; 9 至 150Hz 常量加速度 :9.8m/s2;X Y Z 方向 10 次 (100 分钟 ) 产品应用 医疗电子 工业控制 现场通信 汽车信息娱乐系统 视频广告 远程监控 订购信息 型号温度范围操作系统 -L -25 C ~ +75 C Linux 广州致远电子股份有限公司

2 修订历史 版本日期原因 V /12/15 正式发布 i

3 目录 1. 简介 硬件特性 软件资源 应用范围 工控主板硬件特性 产品接口布局 短路器说明 订购信息 接口特性 电源 电源输入 电源输出 TF 卡接口 以太网接口 USB 接口 音频接口 HDMI 接口 LVDS 接口 Camera 接口 CSI LCD 接口 调试串口 扩展接口 扩展接口参考电路设计 CAN-bus 电路 RS-232 电路 LVDS 电路 使用注意事项 机械尺寸 免责声明

4 1. 简介 是广州致远电子股份有限公司开发的基于 M6708 核心板的工业控制主板, 处理器为 i.mx 6DualLite,Cortex -A9 简单双核 板上集成了大量的外设接口, 包括千兆以太网 音频 USB CAN UART HDMI LVDS LCD 等接口, 同时整合的多功能 HD 视频引擎可提供 1080P 60fps 视频解码 1080P 30fps 视频编码, 并带有 2D 3D 图形引擎, 可满足消费电子 工业和汽车车载娱乐系统等新一代应用, 以及医疗应用的丰富图形和高响应需求 广州致远电子股份有限公司提供各种成熟的硬件解决方案和丰富软件资源, 完整的软硬件架构使您只需专注于编写产品的应用程序, 具有开发周期短 系统人性化 软件配套完整等特点, 让您能轻松实现 TCP/IP 通信 CAN-bus 现场总线通信 USB/OTG 通信, 和大容量存储等复杂功能, 使嵌入式系统设计更加简洁方便 用户程序可在线升级, 不但使产品更快投入市场, 而且升级简单可靠, 明显增强产品的市场竞争力 产品提供保护设计, 使产品在 EMC 及稳定性方面均具有良好的表现 1.1 硬件特性处理器 飞思卡尔 i.mx 6DualLite 处理器 MCIMX6U7CVM08AC,Cortex -A9 简单双核, 工作频率 800MHz; 集成 32 KByte 一级指令缓存 ; 集成 32 KByte 一级数据缓存 ; 集成 Cortex -A9 NEON MPE( 媒体处理引擎 ) 协处理器 存储单元 标配 512MByte DDR3 SDRAM; 标配 4GByte emmc Flash 音 / 视频接口 1 路音频接口 ; 1 路并行摄像头接口 ; 1 路 MIPI DSI 显示接口 ; 1 路 MIPI CSI-2 串行摄像头接口 ; 1 路 HDMI1.4 接口 支持 60Hz 1080P 输出 ; 1 路 LCD 接口 24bit RGB, 最大支持 60Hz WUXGA 输出 ; 2 路 LVDS 接口 2 路单通道最大支持 85Mpixels/sec 输出,1 路双通道最大支持 165Mpixels/sec 输出 通讯接口 1 路 10/100/1000M 以太网接口 ; 1 路 USB Host 接口 ; 1 路 USB OTG 接口 ; 5 路 UART 接口 ( 其中 1 路专用于调试 ); 2 路 CAN-Bus 接口 ; 2 路 I2C 总线接口 1

5 其它 2 路 SD/MMC 接口 ; 1 路 PWM; 14 路 GPIO 1 路视频输入和 1 路视频输出接口 ; 1.2 软件资源提供所有 功能部件的 Linux 的驱动程序库, 具体包含的驱动程序资源如下 : emmc 驱动 ; 显示驱动 (LCD LVDS HDMI); 触摸屏驱动 ; I2C 驱动 ; SPI 驱动 ; UART 驱动 ; USB Host 驱动 ; USB OTG 驱动 ; 音频驱动 以太网驱动 ; 看门狗驱动 ; 通用数字 I/O 驱动程序 1.3 应用范围 医疗电子 工业控制 现场通信 汽车信息娱乐系统 视频广告 远程监控 手持设备 智能仪表 产品的软 硬件均可裁剪, 欢迎联系致远电子定制 2

6 2. 工控主板硬件特性 2.1 产品接口布局 工控主板的整体布局如图 2.1 所示 图 2.1 工控主板布局 图片仅供参考, 以实际销售产品为主 2.2 短路器说明 工控主板上跳线说明见表 2.1 表 2.1 J18 跳线说明表 丝印 描述 RST WD SD1 SD0 NF FAC REG BT1 BT0 USBID 系统复位 短接时系统复位硬件看门狗使能 短接时使能硬件看门狗, 此时 1.6 秒内不进行喂狗动作, 则硬件看门狗强行复位处理器, 这样可以保证系统意外崩溃时能自行恢复 SD 卡启动选择 同时短接则系统从 TF Card (J20) 启动 Nand Flash 启动选择 短接则系统从 Nand Flash 启动 只适用于标配 Nand Flash 的核心板致远工厂模式 致远内部配置使用, 用户无需使用 清除注册表 WinCE 系统用于复位注册表, 系统启动时若短接, 则清除注册表 Linux 系无需使用启动模式选择 默认为 10 Internal Boot, 同时短接则为 01 Serial Downloader USB 接口选择 短接则选择 USB Host (J11), 断开则选择 USB OTG (J3) 工控主板功能指示说明见表 2.2 3

7 表 2.2 功能指示说明表 工位 功能 描述 核心板 PWR 电源指示 红色, 表示输入电源正常工作 底板 PWR 电源指示 红色, 表示输入电源正常工作 LED2 运行指示 绿色, 运行指示 LED3 错误指示 红色, 错误指示 BZ1 蜂鸣器 系统启动或系统错误指示 2.3 订购信息 工控主板产品型号及其信息如表 2.3 所示 表 2.3 订购信息 产品型号工作温度储存温度操作系统备注 -L -25 C ~ +75 C -40 C ~ +85 C Linux 工作温度不包含 RTC 电池 工控主板除了 emmc 的标称工作温度为 -25 C ~ +85 C, 其它所有器件的标称温度都为 -40 C ~ +85 C 由于处理器发热偏大, 需要在作散热处理的情况才能在 +85 C 下稳定工作 4

8 3. 接口特性 3.1 电源 电源输入 工控主板电源输入额定电压为 12V, 电源接口连接器的物理形式为 2Pin 5.08mm 间距插座, 主板上的标志为 POWER 连接器示意图及信号定义如图 3.1 所示 电源规格要求如表 3.1 所示 引脚名称说明 1 12V +12V 系统电源输入 2 GND 电源地 图 3.1 电源接口连接器示意图及信号定义 表 3.1 输入电源规格 参数 名称 最小 典型 最大 单位 备注 工作电源 V Power V 工作电流 I Power ma 电源输出 工控主板提供 5V 3.3V 1.8V 输出, 用户可使用 所有接口信号定义为 5V 的同为 5V 电源域 定义为 3.3V 的同为 3.3V 电源域 定义为 1.8V 的同为 1.8V 电源 域 LVDS 接口 J15 扩展接口 J6 和 J7 Camera 接口 J8 CSI-2 接口 J9 LCD 接口 J14 DEBUG 接口 J5, 这些接口电源输出最大电流总和如表 3.2 所示 表 3.2 输出电源规格 参数 名称 最小 典型 最大 单位 备注 5V 电源 5V ma 3.3V 电源 3.3V ma 1.8V 电源 1.8V ma 3.2 TF 卡接口 工控主板提供了一路 TF 卡接口, 使用的是处理器 SD3 接口 连接器物理形式为标准 TF 插座, 主板标志为 TF Card 3.3 以太网接口 工控主板提供了 1 路带网络变压器的 10/100/1000M 以太网接口, 连接器物理形式为标准网线插座, 主板标志为 NET 以太网接口包含有 2 个指示灯 :SPEED LINK, 用于指示以太网的工作情况 5

9 3.4 USB 接口 工控主板提供了 2 路 USB HOST 接口, 或者 1 路 USB Host 和 1 路 USB OTG 接口 连接器物理形式为标准 USB 插座, 主板标志为 USB 和 OTG J13 为单独 1 路 USB 信号,J3 和 J11 共用 1 路 USB 信号 当 J18 中的 USBID 短路时, 则选择 J11, 此时有 2 路 USB Host 接口 ; 当 J18 中的 USBID 断开时, 则选择 J3, 此时有 1 路 USB Host 和 1 路 USB OTG, 注意不能同时使用 J3 和 J11 USB Host 能够提供的 500mA 的驱动电流 不能同时使用 J3 和 J 音频接口 工控主板提供了 1 路音频输入和 1 路音频输出, 连接器物理形式为标准 3.5mm 音频插座, 主板标志为 MIC 和 POUT 同时, 音频信号也通过扩展接口引出, 方便用户使用 3.6 HDMI 接口 工控主板提供了 1 路 HDMI1.4 接口, 支持 60Hz 1080P 输出 连接器物理形式为标准 HDMI 插座, 主板标志为 HDMI 3.7 LVDS 接口 工控主板提供了 2 路 24 位 LVDS 接口, 其中 LVDS0 接口连接器物理形式为 2 10Pin,2.54mm 间距的简易牛角座 连接器示意图如所图 3.2 示, 引脚定义如表 3.3 所示 图 位 LVDS 接口示意图 表 位 LVSD 接口引脚定义 引脚序号 信号名称 功能 引脚序号 信号名称 功能 1 3.3V 3.3V 输出 2 3.3V 3.3V 输出 3 GND 电源地 4 GND 电源地 5 LVDS0_TX0_N 通道 0 数据负 6 LVDS0_TX0_P 通道 0 数据正 7 GND 电源地 8 LVDS0_TX1_N 通道 1 数据负 9 LVDS0_TX1_P 通道 1 数据正 10 GND 电源地 11 LVDS0_TX2_N 通道 2 数据负 12 LVDS0_TX2_P 通道 2 数据正 13 GND 电源地 14 LVDS0_CLK_N 时钟负 15 LVDS0_CLK_P 时钟正 16 GND 电源地 17 LVDS0_TX3_N 通道 3 数据负 18 LVDS0_TX3_P 通道 3 数据正 19 GND 电源地 20 GND 电源地 另外 LVDS1 接口由扩展接口引出, 如 3.11 小节描述的 LVDS1 信号 2 路 LVDS 可以设置成 单通道输出, 此时 2 路 LVDS 接口可以分别显示不同的视频源, 最大支持 85Mpixels/sec 输出 6

10 (WXGA Hz) 同时也可以设置成双通道输出, 此时 2 路 LVDS 接口显示同一个视频源, 最大支持 165Mpixels/sec 输出 (WUXGA Hz) 3.8 Camera 接口 工控主板提供了 1 路并行摄像头接口, 连接器示意图如图 3.3 所示 引脚定义如表 3.4 所示 参考电路如图 3.4 所示 图 3.3 摄像头接口 表 3.4 摄像头接口引脚定义 引脚序号 信号名称 功能 引脚序号 信号名称 功能 1 I2C3_SDA I2C3 数据 2 I2C3_SCL I2C3 时钟 3 GND 电源地 4 CSI0_PIXCLK 像素时钟 5 DATA_EN 数据使能 6 CSI0_VSYNCH 垂直同步 7 CSI0_D19 数据位 19 8 CSI0_D18 数据位 18 9 CSI0_D17 数据位 CSI0_D16 数据位 CSI0_D15 数据位 CSI0_D14 数据位 CSI0_D13 数据位 CSI0_D12 数据位 GND 电源地 16 CSI0_MCLK 主时钟 17 GND 电源地 18 CSI0_RST 复位信号 19 CSI0_HSYNCH 水平同步 20 GND 电源地 V 输出 22 GND 电源地 V 3.3V 输出 V 3.3V 输出 7

11 图 3.4 摄像头参考电路摄像头种类很多, 简单地分为数字摄像头和模拟摄像头, 其中数字摄像头集成了 ADC 转换器, 直接将输出的数字信号与 Camera 接口连接就可以实现图像捕捉 而模拟摄像头就相对复杂一些, 因为 Camera 接口不能直接处理模拟信号, 所以摄像头采集回来的模拟信号需要通过 ADV7180BSTZ 芯片进行转换, 该转换芯片通过 I2C 总线来配置 表 3.4 中部分信号没有在图 3.4 中使用, 悬空即可 CSI0_RST 使用的是处理器 GPIO4_IO20 引脚 3.9 CSI-2 工控主板提供了 1 路 CSI-2 摄像头接口, 连接器示意图如图 3.5 所示 引脚定义如表 3.5 所示 8

12 图 3.5 CSI-2 接口示意图 表 3.5 CSI-2 接口引脚定义 引脚序号 信号名称 功能 引脚序号 信号名称 功能 1 CSI_MCLK CSI 主时钟 2 CSI_CLK0_N CSI 时钟负 3 CSI_CLK0_P CSI 时钟正 4 GND 电源地 5 CSI_D0_N CSI 数据 0 负 6 CSI_D0_P CSI 数据 0 正 7 GND 电源地 8 CSI_D1_N CSI 数据 1 负 9 CSI_D1_P CSI 数据 1 正 10 GND 电源地 11 I2C3_SCL I2C3 时钟 12 I2C3_SDA I2C3 数据 13 CSI_RST CSI 复位信号 14 CSI_EN CSI 使能 V 3.3V 输出 V 3.3V 输出 CSI_RST 使用的是处理器 GPIO3_IO19 引脚,CSI_EN 使用的是处理器 GPIO3_IO23 引 脚 3.10 LCD 接口 工控主板提供了 1 路 LCD 接口, 连接器示意图如图 3.6 所示 引脚定义如表 3.6 所示 该接口包含 LCD 信号 四线电阻式触摸屏信号, 以及液晶屏供电电源 LCD 可以支持最高 分辨率的图形液晶,RGB 最大输出 24 位色 该接口兼容致远电子 7 寸液晶套件 LCD_TM070RDH12_24B 以及 4.3 寸液晶套件 TFT-43, 用户可以详细阅读该液晶套件数据手册, 并选购 图 3.6 LCD 接口示意图 表 3.6 LCD 接口引脚定义 引脚 名称 说明 引脚 名称 说明 1 5V 5V 输出 2 5V 5V 输出 3 GND 电源地 4 3.3V 3.3V 输出 5 3.3V 3.3V 输出 6 TS_XM 触摸屏 XM 信号 7 TS_XP 触摸屏 XP 信号 8 TS_YM 触摸屏 YM 信号 9 TS_YP 触摸屏 YP 信号 10 NC 空 11 NC 空 12 GND 电源地 13 NC 空 14 NC 空 9

13 续上表 引脚 名称 说明 引脚 名称 说明 15 NC 空 16 NC 空 17 PWM1_OUT 背光亮度控制 18 NC 空 19 GND 电源地 20 LCD_CLK 点时钟 21 LCD_VDEN 数据输出使能 22 LCD_HS 行同步信号 23 LCD_VS 帧同步信号 24 GND 电源地 25 LCD_B7 BLUE7 26 LCD_B6 BLUE6 27 LCD_B5 BLUE5 28 LCD_B4 BLUE4 29 LCD_B3 BLUE3 30 LCD_B2 BLUE2 31 LCD_B1 BLUE1 32 LCD_B0 BLUE0 33 GND 电源地 34 LCD_G7 GREEN7 35 LCD_G6 GREEN6 36 LCD_G5 GREEN5 37 LCD_G4 GREEN4 38 LCD_G3 GREEN3 39 LCD_G2 GREEN2 40 LCD_G1 GREEN1 41 LCD_G0 GREEN0 42 GND 电源地 43 LCD_R7 RED7 44 LCD_R6 RED6 45 LCD_R5 RED5 46 LCD_R4 RED4 47 LCD_R3 RED3 48 LCD_R2 RED2 49 LCD_R1 RED1 50 LCD_R0 RED0 TS_XM TS_XP TS_YM TS_YP 为四线电阻式触摸屏信号, 可外接触摸屏 LCD 控制器工作在 RGB888 模式, 若用户选用的是 RGB565 模式液晶屏, 只需将多余的低位数据线悬空或者接地 3.11 调试串口 工控主板提供了 1 路调试串口, 连接器是 4PIN 2.54 间距排针, 引脚定义如表 3.7 所示 同时,UART1 接口也通过扩展接口引出, 方便用户使用 表 3.7 DEBUG 引脚定义 引脚序号 信号名称 功能 引脚序号 信号名称 功能 1 GND 电源地 2 UART1_RX UART1 接收 3 UART1_TX UART1 发送 4 3.3V 3.3V 输出 3.12 扩展接口 工控主板提供了 2 排扩展接口, 其物理形式为 2 20Pin,2.54mm 间距的排针, 接口包含 UART CAN I2C SD GPIO 等信号, 用户可灵活使用 信号定义如表 3.8 和表 3.9 所示 表 3.8 J6 接口引脚定义管脚号名称默认功能参考电平输入 / 输出处理器对应引脚 1 3.3V 3.3V 输出 -- POWER 2 5.0V 5.0V 输出 -- 10

14 续上表 管脚号 名称 默认功能 参考电平 输入 / 输出 处理器对应引脚 3 GND V 1.8V 输出 -- 5 I2C4_SCL 3.3V 输入 / 输出 R3 I2C 6 I2C4_SDA 3.3V 输入 / 输出 R5 7 GPIO1_IO14 3.3V 输入 / 输出 E20 8 GPIO6_IO15 3.3V 输入 / 输出 A17 9 GPIO1_IO13 3.3V 输入 / 输出 A23 10 GPIO1_IO15 3.3V 输入 / 输出 A22 11 GPIO1_IO10 3.3V 输入 / 输出 C21 GPIO 12 GPIO1_IO12 3.3V 输入 / 输出 B22 13 GPIO3_IO16 3.3V 输入 / 输出 C25 14 GPIO1_IO11 3.3V 输入 / 输出 F19 15 GPIO3_IO18 3.3V 输入 / 输出 D24 16 GPIO3_IO17 3.3V 输入 / 输出 F21 17 SD1_D2 3.3V 输入 / 输出 E19 18 SD1_D3 3.3V 输入 / 输出 F18 19 SD1_D0 3.3V 输入 / 输出 A21 SD_CARD 20 SD1_D1 3.3V 输入 / 输出 C20 21 SD1_CLK 3.3V 输入 / 输出 D20 22 SD1_CMD 3.3V 输入 / 输出 B21 23 GND POWER 24 GND I2C2_SCL 3.3V 输入 / 输出 R3 I2C 26 I2C2_SDA 3.3V 输入 / 输出 T3 27 CAN2_RX 3.3V 输入 V5 CAN2 28 CAN2_TX 3.3V 输出 T6 29 CAN1_RX 3.3V 输入 W4 CAN1 30 CAN1_TX 3.3V 输出 W6 31 UART5_RX 3.3V 输入 U6 UART5 32 UART5_TX 3.3V 输出 U7 33 UART4_RX 3.3V 输入 V6 UART4 34 UART4_TX 3.3V 输出 W5 35 UART3_RX 3.3V 输入 G22 UART3 36 UART3_TX 3.3V 输出 F22 37 UART2_RX 3.3V 输入 D13 UART2 38 UART2_TX 3.3V 输出 C13 39 UART1_RX 3.3V 输入 E13 UART1 40 UART1_TX 3.3V 输出 F13 11

15 表 3.9 J7 接口引脚定义 管脚号 名称 默认功能 参考电平 输入 / 输出 处理器对应引脚 1 MIC -- 输入 Audio 2 VOUTL -- 输出 -- 3 GND GND VOUTR Audio -- 输出 -- 5 PCIE_CLK_P 2.5V 输出 D7 6 PCIE_CLK_N 2.5V 输出 C7 7 PCIE_TX_P 2.5V 输出 B3 PCIe 8 PCIE_TX_N 2.5V 输出 A3 9 PCIE_RX_P 2.5V 输入 B2 10 PCIE_RX_N 2.5V 输入 B1 11 SATA_RX_P B14 12 SATA_RX_N A14 SATA 13 SATA_TX_P A12 14 SATA_TX_N B12 15 GND GND 16 GND DSI_D1_P 2.5V 输出 H1 18 DSI_D1_N 2.5V 输出 H2 19 DSI_D0_P 2.5V 输出 G1 DSI 20 DSI_D0_N 2.5V 输出 G2 21 DSI_CLK0_P 2.5V 输出 H4 22 DSI_CLK0_N 2.5V 输出 H3 23 GND POWER 24 GND GPIO1_IO05 3.3V 输入 / 输出 R4 26 GPIO4_IO05 3.3V 输入 / 输出 P5 GPIO 27 GPIO1_IO02 3.3V 输入 / 输出 T1 28 GPIO1_IO04 3.3V 输入 / 输出 R6 29 GND GND 30 GND LVDS1_CLK_P 2.5V 输出 Y4 32 LVDS1_CLK_N 2.5V 输出 Y3 33 LVDS1_TX0_P 2.5V 输出 Y2 34 LVDS1_TX0_N 2.5V 输出 Y1 35 LVDS1_TX1_P 2.5V 输出 AA1 LVDS 36 LVDS1_TX1_N 2.5V 输出 AA2 37 LVDS1_TX2_P 2.5V 输出 AB2 38 LVDS1_TX2_N 2.5V 输出 AB1 39 LVDS1_TX3_P 2.5V 输出 AA4 40 LVDS1_TX3_N 2.5V 输出 AA3 12

16 标配处理器为飞思卡尔 i.mx 6DualLite,Cortex -A9 简单双核, 暂不支持 SATA 功能 J7 接口的第 11~14 引脚需悬空处理 3.13 扩展接口参考电路设计本小节给出部分扩展接口的参考设计, 所有电路都经过了严格的功能验证 用户在设计电路时可参考 致远电子针对 扩展接口设计了测试板 -TEST, 用户可选购 CAN-bus 电路 CAN 是控制器局域网络 (Controller Area Network, CAN) 的简称, 是由研发和生产汽车电子产品著称的德国 BOSCH 公司开发了的, 并最终成为国际标准 (ISO11898) 是国际上应用最广泛的现场总线之一 在北美和西欧,CAN 总线协议已经成为汽车计算机控制系统和嵌入式工业控制局域网的标准总线, 并且拥有以 CAN 为底层协议专为大型货车和重工机械车辆设计的 J1939 协议 近年来, 其所具有的高可靠性和良好的错误检测能力受到重视, 被广泛应用于汽车计算机控制系统和环境温度恶劣 电磁辐射强和振动大的工业环境 M6708 工控主板集成两路 CAN 控制器, 故只需外接 CAN 收发器 信号隔离器 DC/DC 隔离电源就可以使用, 当然也可以直接使用一体化集成器件 CTM8251AT, 以简化电路设计, 参考电路如图 3.7 所示 CTM8251AT 是一款带隔离的通用 CAN 收发器芯片, 该芯片内部集成了所有必需的 CAN 隔离及 CAN 收 发器件, 这些都被集成在不到 3 平方厘米的芯片上 芯片的主要功能是将 CAN 控制器的逻辑电平转换为 CAN 总线的差分电平并且具有 DC 2500V 的隔离功能 图 3.7 CAN-Bus 电路 参考电路中内置了可选 120Ω 终端电阻 R37 和 R91, 此电阻选用 0603 封装即可 用户 可通过短路焊接 CB1 和 CB3, 或者使用短路帽短路 JP1 和 JP3 来选择使用终端电阻, 前者 13

17 保证稳定可靠, 后者可灵活插拔 RS-232 电路 M6708 工控主板提供 5 路 UART 接口, 其中 UART1 是调试串口, 设计时需确保 UART1_TX 和 UART1_RX 专用于调试功能 RS-232 接口参考电路如图 3.8 所示 SP3232 有一个高效的电荷泵, 工作电压为 3.3V 时只需 0.1μF 电容就可进行操作 电荷泵允许 SP3232 在 +3.3V 到 +5.0V 内的某个电压下发送符合 RS-232C 的信号 SP3232 器件内部的 ESD 保护使得驱动器和接收器的引脚可承受 ±15kV 人体放电和 IEC 气隙放电 SP3232 器件包含一种低功耗关断模式, 该模式下器件的驱动器输出和电荷泵被禁止 关断状态下, 电源电流低于 1μA 图 3.8 RS-232 电路参考电路中 J5~J8 为标准 DB9 的 Male( 接口为插针 ) 接口 J2 为标准标准 DB9 的 Female ( 接口为插孔 ) 接口, 用于调试 UART1 信号和 3.11 小节的信号为同一路信号 LVDS 电路 工控主板提供了 2 路 24 位 LVDS 接口, 其中 1 路 LVDS0 通过简易牛角座 J15 引出, 如 3.7 小节描述 另外一路 LVDS1 通过扩展接口引出 2 路 LVDS 可以设置成单通道输出, 此时 2 路 LVDS 接口可以分别显示不同的视频源, 最大支持 85Mpixels/sec 输出 ( WXGA Hz) 同时也可以设置成双通道输出, 此时 2 路 LVDS 接口显示同一个视频源, 最大支持 165Mpixels/sec 输出 (WUXGA Hz) LVDS1 参考电路如图 3.9 所示 14

18 图 3.9 LVDS 电路 15

19 4. 使用注意事项 工控主板处理器为 Cortex -A9 简单双核, 工作频率 800MHz 在没有散热处 理的情况下, 该处理器的热成像图如图 4.1 和图 4.2 所示 图 4.1 常态运行发热图 图 4.1 为 工控主板在运行 Linux 系统, 并作一些简单的数据通讯的情况下所 得的热成像图, 由图可看出处理器发热量最大, 温度为

20 图 4.2 高负荷运行发热图图 4.2 为 工控主板在运行 Linux 系统, 并播放 1080P 视频的情况下所得的热成像图, 由图可看出处理器发热量最大, 温度为 66.1 工控主板除了 emmc 的标称工作温度为 -25 C ~ +85 C, 其它所有器件的标称温度都为 -40 C ~ +85 C 由于处理器发热偏大, 需要在作散热处理的情况才能在 +85 C 下稳定工作 处理器内部集成过温保护电路 处理器内部温度一旦超过 100, 将会停止工作 17

21 5. 机械尺寸 工控主板机械尺寸如图 5.1 和图 5.2 所示 ( 单位 :mm) 图 5.1 正视图 图 5.2 侧视图 如需更详细的机械尺寸图, 请联系我们的销售或技术支持 18

22 6. 免责声明 本文档提供有关广州致远电子股份有限公司产品的信息 本文档并未授予任何知识产权的许可, 并未以明示或暗示, 或以禁止发言或其它方式授予任何知识产权许可 除致远电子在其产品的销售条款和条件中声明的责任之外, 致远电子概不承担任何其它责任 并且, 致远电子对致远电子产品的销售和 / 或使用不作任何明示或暗示的担保, 包括对产品的特定用途适用性 适销性或对任何专利权 版权或其它知识产权的侵权责任等, 均不作担保 致远电子产品并非设计用于医疗 救生或维生等用途 致远电子可能随时对产品规格及产品描述做出修改, 恕不另行通知 工控主板可能包含某些设计缺陷或错误, 一经发现将收入勘误表, 并因此可能导致产品与已出版的规格有所差异 如客户索取, 可提供最新的勘误表 在订购产品之前, 请您与当地的广州致远电子股份有限公司销售处或分销商联系, 以获取最新的规格说明 本文档中提及的含有订购号的文档以及其它文献可通过访问广州致远电子股份有限公司的万维网站点 : 获得 广州致远电子股份有限公司保留所有权利 19

23 销售与服务网络 广州致远电子股份有限公司 地址 : 广州市天河区车陂路黄洲工业区 7 栋 2 楼邮编 : 传真 :(020) 网址 : 全国销售与服务电话 : 全国服务电话 : 销售与服务网络 : 广州总公司 广州市天河区车陂路黄洲工业区 7 栋 2 楼 电话 :(020) 上海分公司 : 上海 上海市北京东路 668 号科技京城东楼 12E 室 电话 :(021) 北京分公司 北京市海淀区知春路 108 号豪景大厦 A 座 19 层 电话 :(010) 上海分公司 : 南京 南京市珠江路 280 号珠江大厦 1501 室电话 :(025) 深圳分公司 深圳市福田区深南中路 2072 号电子大厦 12 楼 电话 :(0755) 上海分公司 : 杭州 杭州市天目山路 217 号江南电子大厦 502 室电话 :(0571) 武汉分公司武汉市洪山区广埠屯珞瑜路 158 号 室 ( 华中电脑数码市场 ) 电话 :(027) 重庆分公司重庆市九龙坡区石桥铺科园一路二号大西洋国际大厦 ( 赛格电子市场 )2705 室电话 :(023) 成都分公司 成都市一环路南二段 1 号数码科技大厦 403 室电话 :(028) 西安办事处 西安市长安北路 54 号太平洋大厦 1201 室电话 :(029) 请您用以上方式联系我们, 我们会为您安排样机现场演示, 感谢您对我公司产品的关注! 20

Data Sheet Camera-Demo 配件版产品数据手册 工控主板配件板 DS V1.00 Date: 2016/5/30 产品数据手册 概述 Camera-Demo 是广州致远电子股份有限公司开发的一款评估配件板 该配件板采用 ADV7180 模拟转数字解码方案, 可分时采

Data Sheet Camera-Demo 配件版产品数据手册 工控主板配件板 DS V1.00 Date: 2016/5/30 产品数据手册 概述 Camera-Demo 是广州致远电子股份有限公司开发的一款评估配件板 该配件板采用 ADV7180 模拟转数字解码方案, 可分时采 Data Sheet Camera-Demo 配件版 DS20151101 V1.00 Date: 2016/5/30 概述 Camera-Demo 是开发的一款评估配件板 该配件板采用 ADV7180 模拟转数字解码方案, 可分时采集四路模拟摄像头信号, 并转为数字信号, 通过 CSI 接口连至 CPU 进行处理 主要用于 EPC-6G2C-L 和 IoT-6G2C-L 两款工控主板 CSI 摄像头评估

More information

M6708 核心板 修订历史版本 日期 原因 V /5/15 正式发布 V /7/9 修正 I2C3_SCL 信号对应的处理器引脚号 i

M6708 核心板 修订历史版本 日期 原因 V /5/15 正式发布 V /7/9 修正 I2C3_SCL 信号对应的处理器引脚号 i Data Sheet M6708 核心板 DS01010101 V1.01 Date: 2015/7/9 概述 产品特性 M6708 是一款基于 i.mx6 系列处理器的 标配 Cortex -A9 简单双核, 工作频率核心板 标配处理器为 Cortex -A9 简单双 800MHz; 核 板上集成了大量的外设接口, 包括千兆 支持 512MByte/1GByte DDR3 SDRAM; 以太网 音频

More information

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

修订历史版本 日期 原因 V /07/22 创建文档 V /11/17 第一次修改 V /02/10 第二次修改 V /11/22 第三次修改 V /11/11 更新手册布局 联系方式 V /07/31

修订历史版本 日期 原因 V /07/22 创建文档 V /11/17 第一次修改 V /02/10 第二次修改 V /11/22 第三次修改 V /11/11 更新手册布局 联系方式 V /07/31 Data Sheet 系列 3KVDC 隔离标准模拟量输入 :0~+5V V1.06 Date: 2016/09/27 概述 ZCM23XX 系列有源隔离放大器是一种前级 0~5V 电压信号输入, 后级多种信号类型输出的高性能模拟信号隔离调理模块 模块信号输入端 输出端及电源端相互隔离, 具有高达 3.0KV DC 耐压能力, 可有效解决电源与信号的环路干扰问题, 极大地提高系统的可靠性 产品特性

More information

TKScope 仿真器编程 ATmega8 使用指南 Application Note AN V1.00 Date: 2010/05/14 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 TKScope 仿真器编程 ATmega8

TKScope 仿真器编程 ATmega8 使用指南 Application Note AN V1.00 Date: 2010/05/14 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 TKScope 仿真器编程 ATmega8 Application Note AN05220038 V1.00 Date: 2010/05/14 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 修订历史 版本日期原因 V1.00 2010/05/14 创建文档 Date: 2010/05/14 2010 Guangzhou ZHIYUAN Electronics Stock Co., Ltd. Rev

More information

修订历史 版本日期原因 V /3/27 创建文档 i

修订历史 版本日期原因 V /3/27 创建文档 i Application Note AN01010101 V0.00 Date: 2015/3/27 类别 关键词 摘要 内容 EPC-287 i.mx287 交换机 双网口 本文主要介绍 EPC-287 或 i.mx287 双网口的应用之一 广州致远电子股份有限公司 修订历史 版本日期原因 V0.00 2015/3/27 创建文档 i 目录 1. 适用范围... 1 2. 原理概述... 2 3.

More information

修订历史版本 日期 原因 V /10/15 创建文档 V /12/02 正式发布 V /05/05 增加章节 3.4: 核心板启动配置管脚说明 V /08/10 增加章节 4.16:PCB 设计注意事项 V /08/22

修订历史版本 日期 原因 V /10/15 创建文档 V /12/02 正式发布 V /05/05 增加章节 3.4: 核心板启动配置管脚说明 V /08/10 增加章节 4.16:PCB 设计注意事项 V /08/22 Data Sheet DS01010101 V1.04 Date: 2018/03/26 概述 产品特性 是一款基于 i.mx6 系列处理器 标配 Cortex -A9 简单双核, 工作频率的核心板 标配处理器为 Cortex -A9 简单双 800MHz; 可选 1GHz; 核 板上集成了大量的外设接口, 包括千兆 可选 Cortex -A9 四核, 工作频率 1GHz; 以太网 音频 USB CAN

More information

修订历史版本 日期 原因 V /01/01 内部制定初稿 V /03/13 第一次发布 V /6/25 第二次发布 V /07/21 第三次发布 i

修订历史版本 日期 原因 V /01/01 内部制定初稿 V /03/13 第一次发布 V /6/25 第二次发布 V /07/21 第三次发布 i Data Sheet V1.20 Date: 2010/07/24 概述定压输入隔离 3KV 稳压单输出电源模块效率高 体积小 可靠性高 耐冲击 隔离特性好, 工作温度范围宽等特点 国际标准引脚方式, 阻燃封装 (UL94-V0), 自然冷却, 无需外加散热片, 无需外加其他元器件可直接使用, 并可直接焊接于 PCB 板上 该系列电源模块具有良好的电磁兼容性, 输出纹波及噪声非常小, 适合用于供电电源稳定

More information

修订历史 版本日期原因 V /09/28 创建文档 产品应用笔记 2017 Guangzhou ZHIYUAN Electronics Co., Ltd.. i

修订历史 版本日期原因 V /09/28 创建文档 产品应用笔记 2017 Guangzhou ZHIYUAN Electronics Co., Ltd.. i Selection Guide AN01010101 V1.00 Date: 2017/09/28 产品应用笔记 类别 内容 关键词 DTU ZigBee WiFi 433/470 LoRa 天线选型 摘 要 总结天线型号及参数, 方便选择相关配件 广州致远电子有限公司 修订历史 版本日期原因 V1.00 2017/09/28 创建文档 产品应用笔记 2017 Guangzhou ZHIYUAN Electronics

More information

修订历史版本 日期 原因 V /01/01 内部制定初稿 V /03/13 第一次发布 V /6/25 第二次发布 V /07/21 第三次发布 V /7/24 第四次发布 i

修订历史版本 日期 原因 V /01/01 内部制定初稿 V /03/13 第一次发布 V /6/25 第二次发布 V /07/21 第三次发布 V /7/24 第四次发布 i Data Sheet V1.30 Date: 2010/07/24 概述定压输入隔离 1KV 稳压单输出电源模块效率高 体积小 可靠性高 耐冲击 隔离特性好, 工作温度范围宽等特点 国际标准引脚方式, 阻燃封装 (UL94-V0), 自然冷却, 无需外加散热片, 无需外加其他元器件可直接使用, 并可直接焊接于 PCB 板上 该系列电源模块具有良好的电磁兼容性, 输出纹波及噪声非常小, 适合用于供电电源稳定

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Data Sheet ZCM26XXD ±10V 电压信号输入有源高精度隔离变送器 V1.01 Date: 2016/09/27 产品数据手册 概述 产品特性 ZCM26XX 系列有源隔离放大器是一种 高精度 (0.1% F.S.); 前级电压信号输入, 后级多种信号类型输出 四隔离 ( 信号输入

Data Sheet ZCM26XXD ±10V 电压信号输入有源高精度隔离变送器 V1.01 Date: 2016/09/27 产品数据手册 概述 产品特性 ZCM26XX 系列有源隔离放大器是一种 高精度 (0.1% F.S.); 前级电压信号输入, 后级多种信号类型输出 四隔离 ( 信号输入 Data Sheet V1.01 Date: 2016/09/27 概述 产品特性 ZCM26XX 系列有源隔离放大器是一种 高精度 (0.1% F.S.); 前级电压信号输入, 后级多种信号类型输出 四隔离 ( 信号输入 / 输出耐压 2.5KV DC); 的高性能模拟信号隔离调理模块 工作温度范围 : -25~+71 ; 模块信号输入 信号输出 电源输入 配 内嵌高效微功率隔离电源 ; 电输出相互隔离,

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-4418 核心板是一款低功耗 高性能的嵌入式 ARM 主板, 使用 Samsung 高端主流 ARM 处理器 :S5P4418 四核 Cortex-A9,

More information

Data Sheet MiniARM M3517 ARM 嵌入式工业控制模块 V1.00 Date: 2012/12/28 产品数据手册 概述 M3517 是一款以 Cortex-A8 芯片 (TI AM3517) 作为主处理器的嵌入式核心板, 该 CPU 基于 Cortex-A8 核设计, 内部集

Data Sheet MiniARM M3517 ARM 嵌入式工业控制模块 V1.00 Date: 2012/12/28 产品数据手册 概述 M3517 是一款以 Cortex-A8 芯片 (TI AM3517) 作为主处理器的嵌入式核心板, 该 CPU 基于 Cortex-A8 核设计, 内部集 Data Sheet MiniARM M3517 V1.00 Date: 2012/12/28 概述 M3517 是一款以 Cortex-A8 芯片 (TI AM3517) 作为主处理器的嵌入式核心板, 该 CPU 基于 Cortex-A8 核设计, 内部集成了强大的多媒体处理单元, 支持多种显示分辨率选择, 可同时输出至 LCD 和 TV 显示 ; 支持一路摄像头输入 ; 以及 USB SD Card

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源 Firefly-RK3128 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-05-15 版本更新日期更新内容 V1.0 2018-05-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 1.2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...9 1 CON1 SIP-42PIN-2D54...9

More information

修订历史 版本日期原因 V /08/26 创建文档 i

修订历史 版本日期原因 V /08/26 创建文档 i DataSheet DS01010101 V0.91 Date: 2016/08/26 产品数据手册 概述 是由广州致远电子股份有限公司设计并完全符合国家标准 GB/T 18487.1-2015 的交流充电桩控制器 交流充电控制器通过丰富的接口连接相应的输入 / 输出组件, 完成对充电设备的控制和检测 交流充电控制器是由核心控制板和底板两部分构成 核心板命名为 -PACK 核心板由控制核心 LPC1768

More information

A31

A31 简 介 是 美 睿 视 讯 推 出 的 一 款 基 于 全 志 科 技 公 司 A31 芯 片 的 开 发 系 统, 向 用 户 提 供 Android4.4 和 Linux3.3 开 发 包 蜂 鸟 开 发 系 统 是 一 款 基 于 A31 的 微 型 板, 主 板 周 围 有 两 个 USB HOST 一 个 OTG, 一 个 千 兆 网 口, 同 时 拥 有 Line-in 和 HDMI 高

More information

修订历史版本 日期 原因 V /10/10 创建文档 V /12/8 修改部分接口描述, 完善部分接口指标 V /12/20 发布文档 V /04/28 增加前挡板设计参考尺寸图, 修改部分配件描述 i

修订历史版本 日期 原因 V /10/10 创建文档 V /12/8 修改部分接口描述, 完善部分接口指标 V /12/20 发布文档 V /04/28 增加前挡板设计参考尺寸图, 修改部分配件描述 i Data Sheet DS03020101 V1.01 Date: 2017/04/28 概述 是广州致远电子有限公司精心推出的一款工业级工控主板, 该主板采用了 Freescale 的基于 ARM9 内核的 i.mx28x 多媒体应用处理器, 可满足数据采集或更高水平用户互动的消费电子和工业控制应用 为了让用户能够快速地熟悉该主板, 广州致远电子有限公司提供成熟的硬件解决方案 预装实用的 Linux

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

修订历史版本 日期 原因 V /01/01 内部制定初稿 V /03/13 第一次发布 V /6/25 第二次发布 V /07/21 第三次发布 i

修订历史版本 日期 原因 V /01/01 内部制定初稿 V /03/13 第一次发布 V /6/25 第二次发布 V /07/21 第三次发布 i Data Sheet V1.20 Date: 2010/07/24 概述定压输入隔离 3KV 稳压单输出电源模块效率高 体积小 可靠性高 耐冲击 隔离特性好, 工作温度范围宽等特点 国际标准引脚方式, 阻燃封装 (UL94-V0), 自然冷却, 无需外加散热片, 无需外加其他元器件可直接使用, 并可直接焊接于 PCB 板上 该系列电源模块具有良好的电磁兼容性, 输出纹波及噪声非常小, 适合用于供电电源稳定

More information

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改 TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V1.2 1. 开发板版本更新为 A3, 文档格式校订 2017/01/16 V1.1 1. 添加视频输入拓展口 2. 修改 USB 介绍错漏 2016/10/26 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

Microsoft Word - freescale I.MX6板 M636D1 DS A .doc

Microsoft Word - freescale I.MX6板 M636D1 DS A .doc 一, M636D1 平台特点 : 简单易用, 接口防反插 丰富的接口支持 开放源码自定义灵活 7~24V 供电 业界最小功能最强大 71 x 99 x 18 mm 工业级宽温, 高可靠性 10 年超长供货期 Android 4.0,4.3 系统或纯 Linux 灵活增加扩展小板, 功能 经济双丰收 反还的预付开发费 NRE 零起价, 也可驱动接口定制 灵活配置接口和运算能力, 无限的批量效益 1.2G

More information

Microsoft Word - IAC-IMX6-Kit 硬件说明书.doc

Microsoft Word - IAC-IMX6-Kit 硬件说明书.doc IAC-IMX6-Kit 嵌入式开发板 硬件说明书 版本号 :V 1.0 2014 年 03 月 杭州启扬智能有限公司版权所有 QIYANG TECHNOLOGY Co., Ltd Copyright Reserved IAC-IMX6-Kit 嵌入式开发硬件说明书 版本更新记录 版本硬件平台描述日期修订人 1.0 IAC-IMX6-Kit 初始版本, 首次发布 2014-03-16 第 2 页共

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

中文版

中文版 人机界面 Easy Harmony 工业平板电脑 产品目录 2019 schneider-electric.con www.schneider-electric.com/hmi 目录 Easy Harmony 工业平板电脑 选型指引....page 0590Q/2 介绍 产品特点... page 28626/2 EcoStruxure Architecture... page 28626/2 概述...

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May-13 1 Revision History 0.2, 增加 J2 的 PIN57 PIN59 说明 0.21,2014-Feb-17 去掉表格中的 HDMI 接口 修改 SPI 个数, 只有 1 个 SPI 接口 修改 硬件参数 表格 增加备注 关于 MMC1_DAT4-7 被替换 0.22, 增加

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D J10 Front-Came

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D J10 Front-Came Firefly-PX3-SE 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-01-15 版本更新日期更新内容 V1.0 2018-01-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...8 1 CON1 SIP-42PIN-2D54...8

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-V210 核心板基于 Samsung 公司 ARM Cortex- A8 S5PV210 应用处理器, 支持高达 1GHz 的处理速度, 核心板上配置一枚智能电源管理芯片,

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

修订历史 版本日期原因 V /03/15 创建文档. 1

修订历史 版本日期原因 V /03/15 创建文档. 1 ZLG600A 读写卡模块入门指南 ZLG600A 系列读写卡模块 User Manual UM06120000 V1.00 Date: 2016/03/15 类别 关键词 摘要 内容 ZLG600A 读写卡模块 Demo 描述 ZLG600A 系列读写卡模块基于 ZLG500 Demo 板的入门指南 广州周立功单片机科技有限公司 修订历史 版本日期原因 V1.00 2016/03/15 创建文档.

More information

S6410T使用手册

S6410T使用手册 EASE4418 核心板硬件手册 版本 1.0 copyright@2016-2019 1 / 17 版权声明 本手册版权归属广州亿三电子科技有限公司所有, 并保留一切权力 未经广 州亿三电子科技有限公司许可 ( 书面形式 ), 任何单位和个人不得摘录本手册部 分或全部内容 违者将追究其法律责任! 广州亿三电子科技有限公司 日期 :2019-02-15 2 / 17 修订历史 : 版本号 说明 作者

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

让科技更简单, 让生活更智能 天启科技 天启科技 ROC-RK3399-PC 产品规格书 V1.0 版本更新日期更新内容 V 原始版本 地址 : 广东省中山市东区中山四路 57 号宏宇大厦 1 座 全国服务热线

让科技更简单, 让生活更智能 天启科技 天启科技 ROC-RK3399-PC 产品规格书 V1.0 版本更新日期更新内容 V 原始版本 地址 : 广东省中山市东区中山四路 57 号宏宇大厦 1 座 全国服务热线 ROC-RK3399-PC 产品规格书 V1.0 版本更新日期更新内容 V1.0 2018-08-29 原始版本 - 1 - 目录 一 产品简介... 4 1 产品概述... 4 2 接口描述... 6 二 硬件规格... 8 三 主板尺寸... 10 四 主板配套外壳... 11 五 接口定义... 12 1. 双排 30PIN 2.0 间距扩展接口 (GPIO)... 12 2 双排 30PIN

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

M335x 核心板 修订历史 版本日期原因 V /04/27 正式发布 i

M335x 核心板 修订历史 版本日期原因 V /04/27 正式发布 i Data Sheet M335x-T( 可插拔 ) 核心板数据手册 ARM 嵌入式工业控制模块 DS01010101 V1.00 Date: 2016/04/27 概述 M335x-T 是一款基于 TI AM335x 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9, 可提供快速的数据处理和流畅的界面切换 M335x-T 拥有丰富的外设资源,6 路 UART

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER Leica IC90 E 4 5 6 10 Leica IC90 E 12 13 Leica IC90 E: 14 16 18 USB 20 HDMI 22 SD 24 25 () 27 28 29 CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMERA ( ) 34 SETUP USER 35 SETUP ETHERNET

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

修订历史版本 日期 原因 V /12/06 创建文档 V /01/13 正式发布 V /06/12 修改文档图片及题注 V /06/21 修改机械尺寸图片 1. 修改 产品型号命名规则 图片 ; V /08/06 2.

修订历史版本 日期 原因 V /12/06 创建文档 V /01/13 正式发布 V /06/12 修改文档图片及题注 V /06/21 修改机械尺寸图片 1. 修改 产品型号命名规则 图片 ; V /08/06 2. DataSheet DS01010101 V1.05 Date: 2018/09/05 概述 M6G2C 系列核心板是基于 NXP i.mx6ul 处理器为核心的嵌入式工业控制核心板 核心板采用性能更优的 Cortex-A7 内核处理器, 可提供快速的数据处理和流畅的界面切换 该系列产品自带 8 路 UART 2 路 USB OTG 最高 2 路 CAN-bus 2 路以太网等接口, 具有十分强大的工业控制通讯接口,

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

K 寸猫眼中文说明书

K 寸猫眼中文说明书 使 用 说 明 书 适 用 于 K-301 系 列 产 品 一 简 介 1 二 标 准 套 装 配 件 1 三 产 品 外 观 构 成 2 四 安 装 说 明 4 五 操 作 说 明 6 六 规 格 参 数 13 七 注 意 事 项 14 感 谢 您 购 买 智 能 防 盗 可 视 猫 眼 门 铃 系 列 产 品, 该 系 列 是 本 公 司 最 新 设 计 的 多 功 能 超 薄 悬 挂 式 智

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

i.mx51 WinCE开发板介绍

i.mx51 WinCE开发板介绍 i.mx51w 开发套件系统介绍 2010-8-26 第 1 页共 9 页 更多信息请浏览以下网址 : i.mx51l: 基于 i..mx51 的 Linux 系统开工具 :/product_catalog/i.mx51l.html i.mx51w: 基于 i..mx51 的 Wince6.0 系统开发工具 :/product_catalog/i.mx51w.html i.mx51a: 基于 i..mx51

More information

Data Sheet M3352 核心板产品数据手册 ARM 嵌入式工业控制模块 DS V1.05 Date: 2015/08/10 产品数据手册 概述 M3352 是一款基于 AM3352 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9

Data Sheet M3352 核心板产品数据手册 ARM 嵌入式工业控制模块 DS V1.05 Date: 2015/08/10 产品数据手册 概述 M3352 是一款基于 AM3352 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9 Data Sheet DS01010101 V1.05 Date: 2015/08/10 概述 M3352 是一款基于 AM3352 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9, 可提供快速的数据处理和流畅的界面切换 该产品自带 6 路 UART 2 路 CAN-Bus 2 路 USB OTG 2 路以太网等接口, 具有强大的通讯功能 工业级性能保证,

More information

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ).

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ). TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 )... 2 INTERFACE DEFINITION( 接口定义 )... 3 CONTROLLER DIMENSIONS(

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

深圳市天和电子有限公司

深圳市天和电子有限公司 承认书 类 型 型 : 多媒体核心板 号 : SMK35 客户名称 : 日 期 : 此栏为客户签字栏 : 硬件确认软件确认承认批准 硬件变更记录 软件版本号 文档号 : TH-AVN -N001 1 公司名称赛梅斯凯部门 R&D 版本 V1.1 设计张忠祥审核张辉日期 2015.05.10 标题产品规格书 版本管控 日期 版本 修改说明 2015.04.08 V1.0 第一版 2015.05.10

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

修订历史 版本日期原因 V /11/09 创建文档 i

修订历史 版本日期原因 V /11/09 创建文档 i User Manual UM01010101 V1.00 Date: 2011/11/09 类别 关键词 摘要 内容 协议转换 介绍 ZTM LINK 的特点与使用方法 广州周立功单片机发展有限公司 修订历史 版本日期原因 V1.00 2011/11/09 创建文档 i 销售与服务网络 ( 一 ) 广州周立功单片机发展有限公司 地址 : 广州市天河北路 689 号光大银行大厦 12 楼 F4 邮编

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 接口定义 GPIO 扩展接口 摄像头接口 六 系统软件 七 电气性能 八 主板配套外壳... 12

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 接口定义 GPIO 扩展接口 摄像头接口 六 系统软件 七 电气性能 八 主板配套外壳... 12 Firefly-RK3288 产品规格书 V1.1 版本更新日期更新内容 V1.0 2017-09-29 原始版本 V1.1 2018-08-24 增加主板适配的外壳介绍 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 接口定义...8 1 GPIO 扩展接口...8 2 摄像头接口... 10

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

A80 H8 english

A80 H8 english H8 development kit brief introduction H8 is based on Allwinner A80 SoC is released by Merrii, we can provide users with Android4.4.2 and inux3.4.39 to develop. OptimusBoard is a mini board based on A80,

More information

A3352 核心板 修订历史版本 日期 原因 V /12/04 创建文档 V /09/20 修改文中错误 增加 A3352 上电时序 V /06/19 修改文中错误 增加启动配置 删除 WinCE 相关信息 V /08/03 修改公司名

A3352 核心板 修订历史版本 日期 原因 V /12/04 创建文档 V /09/20 修改文中错误 增加 A3352 上电时序 V /06/19 修改文中错误 增加启动配置 删除 WinCE 相关信息 V /08/03 修改公司名 Data Sheet A3352 无线核心板数据手册 DS01010101 V1.03 Date: 2017/08/03 概述 A3352 是一款基于 TI AM3352 处理器为核心, 集无线模块为一体的嵌入式无线核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9, 可提供快速的数据处理和流畅的界面切换 A3352 拥有丰富的外设资源, 如 6 路 UART 2 路 CAN-Bus

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

8193 CPU Card Setting 附录 CPU 卡的设置 8193 CPU Card Setting - 1 -

8193 CPU Card Setting 附录 CPU 卡的设置 8193 CPU Card Setting - 1 - 附录 - 8193 CPU 卡的设置 - 1 - 产品规格 总线类型 全长型 PICMG1.0 单板电脑 尺寸 : 338.6mm(L) x 122mm(W) (13.33 x4.8 ) PCI 总线规格, 适合 2.3 版本 CPU 类型 LGA775 封装 Intel(R) Pentium(R) D 或 Pentium(R) 4 处理器 1066/800/533MHz 前端总线 自动选择 CPU

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8 < 用于 IA (Industrial Appliances)> 8.8 [.5] 8.9 [.5] 9.4 [.7] 11 [4.2] 11 [4.] 14 [5.7] 16 [6.4] 18 [7.0] 21 [8.4] 2 [9.1] 26 [10.1] 26 [10.4] LQ05QDG0 LQ05QDY01 LS07V7DW05 20 RGB 240 240 RGB 20 480 RGB

More information

修订历史版本 日期 原因 V /01/14 创建文档 V /4/27 修改文档 V /6/17 修改文档 V /12/28 增加 ZTM3000 模组的升级方式 i

修订历史版本 日期 原因 V /01/14 创建文档 V /4/27 修改文档 V /6/17 修改文档 V /12/28 增加 ZTM3000 模组的升级方式 i User Manual UM2011042701 V1.03 Date: 2011/12/28 类别 关键词 摘要 内容 串口显示终端 固件更新 本文档介绍如何更新的固件 广州周立功单片机发展有限公司 修订历史版本 日期 原因 V1.00 2011/01/14 创建文档 V1.01 2011/4/27 修改文档 V1.02 2011/6/17 修改文档 V1.03 2011/12/28 增加 ZTM3000

More information

第一部分 XTP-D501开发板(定价人民币10800元)

第一部分 XTP-D501开发板(定价人民币10800元) XTP-D501 飞思卡尔 i.mx27 开发板 无锡矽太恒科电子有限公司 ( 江苏省嵌入式系统工程中心 ) 特点 : 我公司作为飞思卡尔半导体 ( 中国 ) 的紧密合作伙伴和第三方 design house, 得到后者的大力支持, i.mx27 处理器是由飞思卡尔半导体苏州研发中心研发, 我们在研发 D501 开发板的过程中得到苏州研发中心的大力支持 因此, 我们的优势是本地化的研发设计, 开发板选用的元器件都可以方便的购买,

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

SR-650 SR-652 SR-650HA/ 650/651 : 305 mm EtherNet/IP+PoE SR-650

SR-650 SR-652 SR-650HA/ 650/651 : 305 mm EtherNet/IP+PoE SR-650 SR-650 SR-652 SR-650H/ 650/651 : 305 mm EtherNet/IP+PoE SR-650 305 mm SR-652 305 mm 305 mm SR-650H/650/651 SR-652 2 可联网 可通过以太网进行联网 也支持 EtherNet/IP 和 PoE 通过网络连接可远程集中管理多个读取器 联网还为您提供了另一大优势 即可实时查看已扫描的图像 同时

More information

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf FY09/10 人民币表价 5SJ6 小型断路器 标 准 : IEC 60898-1 / GB10963.1 额定电压 : 1P: 230/400V AC / 1P+N: 230V AV / 2,3,4P,3P+N: 400V AC 额定电流 : 0.3~63A 分断能力 : 6KA 脱扣曲线 : C/D start 2010/09 5SJ61147CC20 1 0.3 5SJ6 1P C0.3 55.10

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

Hi3518EV200 HD IP Camera SoC Hi3516A

Hi3518EV200 HD IP Camera SoC Hi3516A Hi3518EV200 HD IP Camera SoC Hi3516A - - - - www.lestina.com www.lestina.com.cn 59 60 www.lestina.com www.lestina.com.cn Hi3516CV200 HD IP Camera SoC www.lestina.com www.lestina.com.cn 61 62 www.lestina.com

More information

修订历史 版本日期原因 V /10/13 创建文档 i

修订历史 版本日期原因 V /10/13 创建文档 i DS01010101 V1.00 Date: 2009/10/13 类别 关键词 摘要 内容 I 2 C 总线 是一款低功耗的 COMS 型, 可驱动点阵 LCD 广州致远电子有限公司 修订历史 版本日期原因 V1.00 2009/10/13 创建文档 i 销售与服务网络 ( 一 ) 广州周立功单片机发展有限公司 地址 : 广州市天河北路 689 号光大银行大厦 12 楼 F4 邮编 :510630

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

CE UL LLHA5 / 7 ( LLGT22 ) ( LLHA9 ) ( LLGT22 ) ( LLHA9 ) 3 ( LLHA5 / 7 ) ( LLGT22 ) ( LLHA5 / 6 )

CE UL LLHA5 / 7 ( LLGT22 ) ( LLHA9 ) ( LLGT22 ) ( LLHA9 ) 3 ( LLHA5 / 7 ) ( LLGT22 ) ( LLHA5 / 6 ) 香港办事处 海外销售 香港九龙官塘敬业街63号利维大厦6楼 电话 +852 376 2888 传真 +852 2341 8144 惠州伟志电子有限公司 博罗县罗阳镇鸿达国际工业制造城 电话 +86 752 6959999 传真 +86 752 6959888 产品和技术查询 请电邮至照明事业部 lighting@waichi.com 版权 217伟志股权公司 版权所有 此处包含的信息如有变更 恕不另行通知

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

面向AWorks框架和接口的C编程

面向AWorks框架和接口的C编程 AWorks Yourdon Constantine BOM AWorks i ARM IP MCU ARM ARM MCU OS PCF85063RX8025T DS1302 RTC FreeRTOS C/OS-II sysbioslinux Windows OS QT emwin GUI MCU MCU OS AWorks OS AWorks OSAWorks AWorks MCU ARM DSP

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们 ROC-RK3328-CC 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-04-02 版本更新日期更新内容 V1.0 2018-04-02 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件... 10 七 电气性能... 10 八

More information

Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M Unidrive M Discover Unidrive M App Store Android E

Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M   Unidrive M Discover Unidrive M App Store Android E Unidrive M600 0.75 kw - 2.8 MW (1.0 hp - 4,200 hp) 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App Store Android

More information

凯莱东尼铁路应用同轴电缆

凯莱东尼铁路应用同轴电缆 凯莱东尼铁路应用同轴电缆 www.addison-cables.com 凯莱东尼铁路电缆 目录 目录 FRA 316 SW4 2 FRA 400 SW4 4 FRA 213D SW4 6 FRA 213 URM SW4 8 FRA 3002 SW4 10 FRA 59 SW4 12 FRA 179 SW4 14 COAXIAL CABLES 1 FRA 316 SW4 Ô Ô应用 该电缆适合铁路机车内外灵活安装

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information