L2 performance

Size: px
Start display at page:

Download "L2 performance"

Transcription

1 Lecture 3: Performance Evaluation 第 3 讲 : 计算机性能评价

2 第三讲计算机性能评价 制造成本 (manufacturing cost) 衡量计算机性能的基本指标 响应时间 (response time) - 执行时间 (execution Time) ) 等待时间 (latency) throughput ( 吞吐量 ) - 带宽 (bandwidth) 计算机性能测量 指令执行速度 (MIPS MFLOPS) 基准程序 ( Benchmark)

3 回顾 : Integrated Circuits Costs --- manufacturing process 在考察性能前, 先考察成本! 单晶硅锭 圆形薄片 / 硅抛光片 (6/8/12 寸, 厚度不足 1mm) 不合格的用磁浆点上记号 IC 小片 / 芯片 晶圆 / 大芯片 封装 : 将芯片固定在塑胶或陶瓷基座上, 把芯片上蚀刻出来的引线与基座底部伸出的引脚连接, 盖上盖板并封焊成芯片约需 400 多道工序!

4 Integrated Circuits Costs 公式 Cost _ per _ wafter Die cost = Die _ per _ wafer Yield wafer _ area Dies per wafer = Die _ area 芯片成本与以下因素有关 : 圆晶价格 圆晶所含小片数 小片合格率 小片合格率 Die Yield = 1 (1+ ( Defect _ per _ area x Die _ area)) 由此看出 : 每个圆晶片上的小片数 集成电路成本都与芯片面积有关! 2

5 计算机性能的基本评价指标 计算机有两种不同的性能 Time to do the task 响应时间 (response time) 执行时间 (execution time) 等待时间或时延 (latency) Tasks per day, hour, sec, ns... 吞吐率 (throughput) 带宽 (bandwidth) 基本的性能评价标准是 :CPU 的执行时间 不同应用场合用户关心的性能不同 : 要求吞吐率高的场合, 例如 : 多媒体应用 ( 音 / 视频播放要流畅 ) 要求响应时间短的场合 : 例如 : 事务处理系统 ( 存 / 取款速度要快 ) 要求吞吐率高且响应时间短的场合 : ATM 文件服务器文件服务器 Web 服务器等 " X is n times faster than Y" means ExTime(Y) Performance(X) = ExTime(X) Performance(Y) 相对性能用执行时间的倒数来表示!

6 计算机性能的测量 比较计算机的性能时, 用执行时间来衡量 完成同样工作量所需时间最短的那台计算机就是性能最好的 处理器时间往往被多个程序共享使用, 因此, 用户感觉到的程序执行时间并不是程序真正的执行时间 ( 从 hello 程序执行过程可知 ) 通常把用户感觉到的响应时间分成以下两个时间 : - CPU 时间 : 指 CPU 真正花在程序执行上的时间 又包括两部分 : 用户 CPU 时间 : 用来运行用户代码的时间 系统 CPU 时间 : 为了执行用户程序而需要运行操作系统程序的时间 - 其他时间 : 指等待 I/O 操作完成或 CPU 花在其他用户程序的时间 系统性能和 CPU 性能不等价, 有一定的区别 - 系统性能 (System performance): 系统响应时间, 与 CPU 外的其他部分也都有关系 - CPU 性能 (CPU performance): 用户 CPU 时间 本章主要讨论 CPU 性能, 即 :CPU 真正用在用户程序执行上的时间问题 : 用户 CPU 时间与系统响应时间哪个更长?

7 CPU 执行时间的计算 CPI:Cycles Per Instruction CPU 执行时间 = CPU 时钟周期数 / 程序 X 时钟周期 = CPU 时钟周期数 / 程序 时钟频率 = 指令条数 / 程序 X CPI X 时钟周期 CPU 时钟周期数 / 程序 = 指令条数 / 程序 X CPI CPI = CPU 时钟周期数 / 程序 指令条数 / 程序 CPI 用来衡量以下各方面的综合结果 Instruction Set Architecture(ISA) Implementation of that architecture Program(Compiler Algorithm)

8 Aspects of CPU Performance CPU CPU time time = Seconds = Instructions x Cycles Cycles x Seconds Program Program Instruction Cycle Cycle instr. count CPI clock rate Program Compiler Instr. Set Arch. Organization Technology 思考 : 三个因素与哪些方面有关?

9 Aspects of CPU Performance CPU CPU time time = Seconds = Instructions x Cycles Cycles x Seconds Program Program Instruction Cycle Cycle instr. count CPI clock rate Program X X Compiler X (X) Instr. Set Arch. Organization Technology X X X X X 问题 :ISA 计算机组织 (Organization) 计算机实现技术 (Technology) 三者的关系是什么?

10 Architecture = Instruction Set Arch. + Organization Computer Design Instruction Set Design Machine Language Compiler View "Computer Architecture" "Instruction Set Processor" "Building Architect" Computer Hardware Design Machine Implementation Logic Designer's View "Processor Architecture" " Computer Organization" "Construction Engineer" 例如, 是否提供 乘法指令 是 ISA 设计要考虑的问题 ; 如何实现乘法指令 ( 用专门的乘法器还是用一个加法器 + 移位器实现 ) 是组成 (Organization) 考虑的问题 ; 如何布线 用什么材料用什么材料 工艺设计等是计算机实现技术 (Technology) 考虑的问题

11 如何计算 CPI? 对于某一条特定的指令而言, 其 CPI 是一个确定的值 但是, 对于某一类指令 或一个程序 或一台机器而言, 其 CPI 是一个平均值, 表示该类指令或 该程序或该机器的指令集中每条指令执行时平均需要多少时钟周期 假定 CPI i 和 C i 分别为第 i 类指令的 CPI 和指令条数, 则程序的总时钟数为 : 总时钟数 = CPI x C 所以, CPU 时间 = 时钟周期 x CPI x C n i = 1 i i n i = 1 i i 已知 CPU 时间 时钟频率 总时钟数 指令条数, 则程序综合 CPI 为 : CPI = (CPU 时间 时钟频率 ) / 指令条数 = 总时钟周期数 / 指令条数 问题 : 指令的 CPI 机器的 CPI 程序的 CPI 各能反映哪方面的性能? 单靠 CPI 不能反映 CPU 的性能! 为什么? 如 : 单周期处理器 CPI=1, 但性能差!

12 Example1 程序 P 在机器 A 上运行需 10 s, 机器 A 的时钟频率为 400MHz 现在要设计一台机器 B, 希望该程序在 B 上运行只需 6 s. 机器 B 时钟频率的提高导致了其 CPI 的增加, 使得程序 P 在机器 B 上时钟周期数是在机器 A 上的 1.2 倍 机器 B 的时钟频率达到 A 的多少倍才能使程序 P 在 B 上执行速度是 A 上的 10/6=1.67 倍? Answer: CPU 时间 A = 时钟周期数 A / 时钟频率 A 时钟周期数 A = 10 sec x 400MHz = 4000M 个时钟频率 B = 时钟周期数 B / CPU 时间 B = 1.2 x 4000M / 6 sec = 800 MHz 机器 B 的频率是 A 的两倍, 但机器 B 的速度并不是 A 的两倍!

13 Marketing Metrics ( 产品宣称指标 ) MIPS = Instruction Count / Time x10 6 = Clock Rate / CPI x 10 6 Million Instructions Per Second 因为每条指令执行时间不同, 所以 MIPS 总是一个平均值 不同机器的指令集不同 程序由不同的指令混合而成 指令使用的频度动态变化 Peak MIPS: ( 不实用 ) 所以 MIPS 数不能说明性能的好坏 ( 用下页中的例子来说明 ) MFLOPS = FP Operations / Time x10 6 Million Floating-point Operations Per Second 与机器相关性大 并不是程序中花时间的部分 用 MIPS 数表示性能有没有局限? 用 MFLOPS 数表示性能也有局限!

14 Example: MIPS 数不可靠! Assume we build an optimizing compiler for the load/store machine. The compiler discards 50% of the ALU instructions. 1) What is the CPI? 2) Assuming a 20 ns clock cycle time (50 MHz clock rate). What is the MIPS rating for optimized code versus unoptimized code? Does the MIPS rating agree with the rating of execution time? Op Freq Cycle ALU 43% 1 Load 21% 2 Store 12% 2 Branch 24% 2 仅仅在软件上进行优化, 没有涉及到任何硬件措施 Optimizing compiler 21.5/ ( )=27% 21 / ( )=27% 12 / ( )=15% 24 / ( )= 31% New Freq 27% 27% 15% 31% CPI M/1.57=31.8MIPS 1.73 MIPS M/1.73=28.9MIPS 28.9 结果 : 因为优化后减少了 ALU 指令 ( 其他指令数没变 ), 所以程序执行时间一定减少了, 但优化后的 MIPS 数反而降低了

15 选择性能评价程序 (Benchmarks) 用基准程序来评测计算机的性能 基准测试程序是专门用来进行性能评价的一组程序 不同用户使用的计算机用不同的基准程序 基准程序通过运行实际负载来反映计算机的性能 最好的基准程序是用户实际使用的程序或典型的简单程序 基准程序的缺陷 现象 : 基准程序的性能与某段短代码密切相关时, 会被利用以得到不当的性能评测结果 手段 : 硬件系统设计人员或编译器开发者针对这些代码片段进行特殊的优化, 使得执行这段代码的速度非常快 - 例 1:Intel Pentium 处理器运行 SPECint 时用了公司内部使用的特殊编译器, 使其性能极高 - 例 2: 矩阵乘法程序 SPECmatrix300 有 99% 的时间运行在一行语句上, 有些厂商用特殊编译器优化该语句, 使性能达 VAX11/780 的 倍!

16 Successful Benchmark: SPEC 1988 年,5 家公司 ( Sun, MIPS, HP, Apollo, DEC ) 联合提出了 SPEC (Systems Performance Evaluation Committee) SPEC 给出了一组标准的测试程序 标准输入和测试报告标准输入和测试报告 它们是一些实际的程序, 包括 OS calls I/O 等 版本 89:10 programs = 4 for integer + 6 for FP, 用每个程序的执行时间求出一个综合性能指标 版本 92:SPECInt92 (6 integer programs) and SPECfp92 (14 floating point programs) 整数和浮点数单独提供衡量指标 :SPECInt92 和 SPECfp92 增加 SPECbase: 禁止使用任何与程序有关的编译优化开关 版本 95: 8 int + 10fp 较新版本 : include SPEC HPC96, SPEC JVM98, SPEC WEB99, SPEC OMP2001. SPEC CPU2000, SPEC CPU2006,See benchmarks useful for 3 years Base machine is changed from VAX-11/780 to Sun SPARC 10/40

17 如何给出综合评价结果? 问题 : 如果用一组基准程序在不同机器上测出了运行时间, 那么如何综合评价机器的性能呢? 先看一个例子 : Program 1: 1 sec on machine A, 10 sec on machine B Program 2: 1000 sec on A,100 sec on B What are your conclusions? 这个结论无法比 A is 10 times faster than B for program1. 较 A 和 B 的好坏 B is 10 times faster than A for Program2. 必须用一个综合的值来表示! Total exec. time 是一个综合度量值, 可以据此得出结论 : B is 1001/110=9.1 times faster than A 实际上, 可考虑每个程序在作业中的使用频度, 即加权平均

18 综合性能评价的方法 可用以下两种平均值来评价 : Arithmetic mean( 算术平均 ): 求和后除 n Geometric mean( 几何平均 ): 求积后开根号 n 根据算术平均执行时间能得到总平均执行时间 根据几何平均执行时间不能得到程序总的执行时间 执行时间的规格化 ( 测试机器相对于参考机器的性能 ): time on reference machine time on measured machine 平均规格化执行时间不能用算术平均计算, 而应该用几何平均 program A going from 2s to 1s as important as program B going from 2000s to 1000s. ( 算术平均值不能反映这一点!) 综上所述, 算术平均和几何平均各有长处, 可灵活使用!

19 第三讲小结 性能的定义 : 一般用程序的响应时间或系统的吞吐率表示机器或系统整体性能 CPU 性能的测量 ( 用户程序的 CPU 执行时间 ): 一般把程序的响应时间划分成 CPU 时间和等待时间,CPU 时间又分成用户 CPU 时间和系统 CPU 时间 因为操作系统对自己所花费的时间进行测量时, 不十分准确, 所以, 对 CPU 性能的测算一般通过测算用户 CPU 时间来进行 各种性能指标之间的关系 : CPU 执行时间 =CPU 时钟周期数 x 时钟周期 时钟周期和时钟频率互为倒数 CPU 时钟周期数 = 程序指令数 x 每条指令的平均时钟周期数 CPI MIPS 数在有些情况下不能说明问题, 不具有可比性! 性能评价程序的选择 : 采用一组基准测试程序进行综合 ( 算术 ( 加权 ) 平均 / 几何平均 ) 评测 有些制造商会针对评测程序中频繁出现的语句采用专门的编译器, 使评测程序的运行效率大幅提高 因此有时基准评测程序也不能说明问题因此有时基准评测程序也不能说明问题 对于某种特定的指令集体系结构, 提高计算机性能的主要途径有 : 提高时钟频率 ( 第七章流水线 ) 优化处理器中数据通路的结构以降低 CPI( 单周期 / 多周期 / 流水线 ) 采用编译优化措施来减少指令条数或降低指令复杂度 ( 第五章指令系统 )

<4D F736F F F696E74202D20B5DAD2BBD5C220BCC6CBE3BBFACFB5CDB3B8C5CAF62E707074>

<4D F736F F F696E74202D20B5DAD2BBD5C220BCC6CBE3BBFACFB5CDB3B8C5CAF62E707074> Ch1: Computer Abstractions 计算机系统概述 第 1 讲 : 计算机系统概述 第 2 讲 : 计算机性能评价 第一讲计算机系统概述 计算机发展简史 IAS 通用计算机模型机 : 冯. 诺依曼结构 IBM360 系列机 : 引入兼容性 ( 系列机 ) 概念 DEC PDP-8: 引入总线结构 计算机系统的组成 计算机硬件 :CPU+MM+I/O 计算机软件 : 系统软件 + 应用软件

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information

计 算 机 系 统 应 用 http://www.c-s-a.org.cn 2016 年 第 25 卷 第 1 期 的 编 程 语 言 Giotto [9] 编 写 控 制 程 序, 可 以 方 便 的 控 制 程 序 的 逻 辑 执 行 时 间, 从 而 使 得 任 务 时 间 的 依 赖 关 系

计 算 机 系 统 应 用 http://www.c-s-a.org.cn 2016 年 第 25 卷 第 1 期 的 编 程 语 言 Giotto [9] 编 写 控 制 程 序, 可 以 方 便 的 控 制 程 序 的 逻 辑 执 行 时 间, 从 而 使 得 任 务 时 间 的 依 赖 关 系 1 基 于 PRET 的 编 程 模 型 李 晓 飞, 陈 香 兰 ( 中 国 科 学 技 术 大 学 计 算 机 学 院, 合 肥 230039) 摘 要 : 时 间 可 预 测 性 在 信 息 物 理 系 统 设 计 领 域 正 变 得 越 来 越 重 要, 目 前 时 间 可 预 测 性 系 统 的 设 计 分 为 编 程 模 型 和 体 系 结 构 两 个 层 次, 编 程 模 型 的 研

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

Microsoft Word - 08_科普作品選讀示例一_080421.doc

Microsoft Word - 08_科普作品選讀示例一_080421.doc 選 修 單 元 八 科 普 作 品 選 讀 示 例 一 一 學 習 目 標 閱 讀 優 秀 的 科 普 作 品, 拓 寬 閱 讀 面 知 識 領 域 和 生 活 視 野, 寫 作 以 科 學 為 題 材 的 文 章, 提 升 寫 作 能 力, 增 進 對 科 學 的 興 趣, 培 養 審 慎 嚴 謹 的 態 度 與 尚 實 求 真 的 精 神, 以 及 關 心 世 界 仁 民 愛 物 的 人 文 情

More information

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt Chapter5-2 The Processor: Datapath and Control (Multi-cycle implementation) 臺大電機系 吳安宇教授 V1. 03/27/2007 For 2007 DSD Course 臺大電機吳安宇教授 - 計算機結構 1 Outline 5.1 Introduction 5.2 Logic Design Conventions 5.3

More information

BPR JIT

BPR JIT 1. ERP POS. 1) POS 2) POS 3) 1 4) 5) 6) 7) 8) 9) 10) 11) 12) 13) 14 POS 1.3 2. 2001 5 2001 5 30 2001 6 20 2001 7 20 2001 7 25 2001 8 25 21 2001 9 25 2001 10 25 2001 11 1 2002 7 3. 1 BPR 2 3 4 5 JIT 4.

More information

(Electronic Data Interchange) (Executive Information System) (Economic Order Quantity) (Enterprise Resource Planning) (Flexible Manufacture System) (F

(Electronic Data Interchange) (Executive Information System) (Economic Order Quantity) (Enterprise Resource Planning) (Flexible Manufacture System) (F (Activity-Based Costing) (Activity-Based Budgeting) (Activity-Base Management) (Advanced Planning and Scheduling) Application Service Provider (Available To Promise) (Bill Of Material) (Business Process

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

Microsoft Word - 103-4 記錄附件

Microsoft Word - 103-4 記錄附件 國 立 虎 尾 技 大 103 年 度 第 4 次 教 務 會 議 記 錄 附 件 中 華 民 國 104 年 6 月 16 日 受 文 者 : 國 立 虎 尾 技 大 發 文 日 期 : 中 華 民 國 104 年 5 月 28 日 發 文 字 號 : 臺 教 技 ( 二 ) 字 第 1040058590 號 速 別 : 最 速 件 密 等 及 解 密 條 件 或 保 密 期 限 : 附 件 :

More information

Microsoft PowerPoint - RT0950_EliminatingRubyGILthroughHTM_Slides_ja.ppt

Microsoft PowerPoint - RT0950_EliminatingRubyGILthroughHTM_Slides_ja.ppt Ruby, Jose G. Castanos IBM Research Watson Research Center Ruby Python JIT Rubinius ytljit PyPy Fiorano HPC Ruby 1 2 (HTM) TM TM Sun Microsystems Blue Gene/Q 2012 Rock Processor Intel zec12 2012 Transactional

More information

Total Internet Connectivity in a Single Chip

Total Internet Connectivity in a Single Chip 基 于 SX 微 处 理 器 的 嵌 入 式 Internet 技 术 乐 德 广 及 其 应 用 系 统 的 设 计 1 1, 郭 东 辉, 刘 瑞 堂 1, Gerard Parr 2 1. 厦 门 大 学 技 术 物 理 研 究 所 厦 门 361005 2. 英 国 Ulster 大 学 信 息 学 院 N.Ireland, BT52 1SA 摘 要 : SX 微 处 理 器 是 美 国 Scenix

More information

(Microsoft PowerPoint - UML\302\262\244\266_use case.ppt)

(Microsoft PowerPoint - UML\302\262\244\266_use case.ppt) UML 簡 介 _Use Case Diagram 資 訊 科 技 系 林 偉 川 UML 簡 介 2 1 UML UML 是 Unified Modeling Language 的 縮 寫, 中 文 翻 譯 為 統 一 塑 模 語 言 UML 統 合 了 物 件 導 向 方 法 論 之 各 派 不 同 的 方 法, 提 供 了 一 致 性 的 圖 形 語 言 做 為 開 發 系 統 的 溝 通 媒

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 1...3 2...20 3...28 4...41 5 Windows SQL Server...47 Microsoft SQL Server 2005 DBSRV1 Microsoft SQL Server

More information

Learning Java

Learning Java Java Introduction to Java Programming (Third Edition) Prentice-Hall,Inc. Y.Daniel Liang 2001 Java 2002.2 Java2 2001.10 Java2 Philip Heller & Simon Roberts 1999.4 Java2 2001.3 Java2 21 2002.4 Java UML 2002.10

More information

Microsoft PowerPoint ARIS_Platform_en.ppt

Microsoft PowerPoint ARIS_Platform_en.ppt ARIS Platform www.ixon.com.tw ARIS ARIS Architecture of Integrated Information System Prof. Dr. Dr. h.c. mult. August-Wilhelm Scheer ARIS () 2 IDS Scheer AG International Presence >> Partners and subsidiaries

More information

前程_ _MK04_行銷企劃_西式膠裝424p

前程_ _MK04_行銷企劃_西式膠裝424p Marketing Planning : Logic, Creativity, Implementation 4 1. 2. 3. 104 Marketing Planning : Logic, Creativity, Implementation 4.1 106 4 4.2 4-1 4-1 107 Marketing Planning : Logic, Creativity, Implementation

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

(Pattern Recognition) 1 1. CCD

(Pattern Recognition) 1 1. CCD ********************************* ********************************* (Pattern Recognition) 1 1. CCD 2. 3. 4. 1 ABSTRACT KeywordsMachine Vision, Real Time Inspection, Image Processing The purpose of this

More information

untitled

untitled 1.1 1.2 1.3 1.4 1.5 1.6 1.7 Technology of Management 1990 1970 Engineering Business 1987 Problem-Driven 2 01 1970 1.1 Industrial Revolution 1970 3 Domestic Industry Cottage Industry O'Hara, September,

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

并行程序设计基础

并行程序设计基础 1800 1800 学 计 发 软 调 术 计 术 应 软 务 2 1. 论 学 2. 实 验 学 3. 计 学 计 学 计 学 计 动 学 计 学 计 学 计 学 计 学 计 学 计 电 学 3 4 ( 级 计 ) CRAY, 银 I SMP( ) IBM p690 SUN MPP( 规 ) T3E 1000 HP ccnuma( 储 ) SGI Qrigin 统 联 腾 1800, IBM SP2

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

計 畫 案, 本 系 預 計 三 場 校 外 參 訪 活 動, 簡 述 如 下 : 參 訪 日 期 :3 月 28 日 ( 三 ), 參 訪 地 點 : 暨 南 大 學 集 集 小 鎮 參 訪 日 期 :4 月 27 日 ( 五 ), 參 訪 地 點 : 大 里 國 際 兒 童 英 語 村 國 立

計 畫 案, 本 系 預 計 三 場 校 外 參 訪 活 動, 簡 述 如 下 : 參 訪 日 期 :3 月 28 日 ( 三 ), 參 訪 地 點 : 暨 南 大 學 集 集 小 鎮 參 訪 日 期 :4 月 27 日 ( 五 ), 參 訪 地 點 : 大 里 國 際 兒 童 英 語 村 國 立 100 學 年 度 第 二 學 期 應 用 英 語 系 ( 科 ) 期 初 系 務 會 議 會 議 紀 錄 一 開 會 時 間 :101 年 2 月 20 日 ( 星 期 一 ) 中 午 12 時 10 分 二 開 會 地 點 : 中 正 大 樓 3401 專 業 教 室 三 主 持 人 : 徐 沂 主 任 記 錄 : 施 娥 四 列 席 指 導 : 林 院 長 龍 五 出 席 人 員 : 如 簽

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

LSC操作说明

LSC操作说明 1 C H R I S T A L P H A 1-4 LSC 型 Part. No. 102041 A L P H A 2-4 LSC 型 Part. No. 10204 冷 冻 干 燥 机 操 作 说 明 新 研 制 的 LSC-8 控 制 器, 具 备 图 形 显 示 功 能, 能 以 数 据 表 形 式 显 示 参 数, 并 可 选 配 控 制 软 件 LSC-8 1/4 VGA 大 屏 幕

More information

技 巧 5: 避 免 除 以 0 的 運 算 在 做 除 的 運 算 時, 先 檢 查 除 數 的 數 值, 避 免 有 除 以 0 的 情 況 若 運 算 中 除 數 為 0,SAS 會 在 LOG 中 註 記 提 醒 並 將 運 算 結 果 設 定 為 遺 漏 值, 減 慢 程 式 的 執 行

技 巧 5: 避 免 除 以 0 的 運 算 在 做 除 的 運 算 時, 先 檢 查 除 數 的 數 值, 避 免 有 除 以 0 的 情 況 若 運 算 中 除 數 為 0,SAS 會 在 LOG 中 註 記 提 醒 並 將 運 算 結 果 設 定 為 遺 漏 值, 減 慢 程 式 的 執 行 提 升 SAS 效 率 的 小 技 巧 ( 二 ) 統 計 分 析 師 嚴 友 君 在 使 用 SAS 的 時 候, 效 率 的 考 量 除 了 程 式 運 行 的 時 間, 還 包 括 資 料 佔 用 的 空 間 暫 存 記 憶 體 的 使 用 量 程 式 的 長 度 與 易 讀 性 等 等 以 下 介 紹 一 些 初 學 者 容 易 應 用, 且 在 討 論 使 用 SAS 處 理 分 析 資

More information

第7章-并行计算.ppt

第7章-并行计算.ppt EFEP90 10CDMP3 CD t 0 t 0 To pull a bigger wagon, it is easier to add more oxen than to grow a gigantic ox 10t 0 t 0 n p Ts Tp if E(n, p) < 1 p, then T (n) < T (n, p) s p S(n,p) = p : f(x)=sin(cos(x))

More information

892213E006146

892213E006146 Modular Design of Quality Control Systems NSC89-2213-E006-146 89 8 1 90 7 31 ( ) ISO14000, and OHSAS18000. Keywords: ISO9000; ISO14000; OHSAS18000; Quality ISO9000 ISO14000 OHSAS18000 Management; Environment

More information

IEEE/EIA 12207 0910023766 ??? The Frameworks Quagmire (http://www.software.org/quagmire/) () ISO 9001 ISO/IEC 12207 ISO/IEC 15504 CMM Quality System Requirements Life Cycle Processes Process Assessment

More information

IT Data-intensive application,iscsi Middl

IT Data-intensive application,iscsi Middl 112-861 2-1-1 163 8677 1 24 2 E-mail: shiori@ogl.is.ocha.ac.jp, sane@cc.kogakuin.ac.jp, oguchi@computer.org IT Data-intensive application,iscsi iddleware for Load Distribution among Cloud Computing Resource

More information

L L L-1 L-1 L-1 L-1 L-1 L-2 L-1 L-1 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-3 L-3 L-3 L-3 L-2 L-2 L-2 L-2 L-2 15 14 13 12 11 10 9 8 7

L L L-1 L-1 L-1 L-1 L-1 L-2 L-1 L-1 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-3 L-3 L-3 L-3 L-2 L-2 L-2 L-2 L-2 15 14 13 12 11 10 9 8 7 Compensation Design - L L L-1 L-1 L-1 L-1 L-1 L-2 L-1 L-1 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-3 L-3 L-3 L-3 L-2 L-2 L-2 L-2 L-2 15 14 13 12 11 10 9 8 7 100,000 80,000 $ 60,000 40,000 20,000 80,000

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

投影片 1

投影片 1 9 1 9-1 Windows XP Windows Server 2003 Mac OS Linux, 都 (OS, Operating System ) 2 3 , 來, 行 3 理 行 4 ,, (UI, User Interface), 滑, 令 列 (CLI, Command-Line Interface) (GUI, Graphical User Interface) 2 5 令 列,

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Microsoft Word - 2.doc

Microsoft Word - 2.doc 证 券 代 码 :000637 证 券 简 称 : 茂 化 实 华 公 告 编 号 :2009-026 茂 名 石 化 实 华 股 份 有 限 公 司 收 购 资 产 暨 关 联 交 易 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 信 息 披 露 的 内 容 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏 一 交 易 概 述 ( 一 ) 收

More information

多 种 途 径, 让 学 生 通 过 实 践 性 教 学, 事 半 功 倍 地 接 受 理 解 老 师 讲 授 的 知 识, 教 学 过 程 跟 踪 国 外 金 融 市 场 动 态 与 国 内 外 著 名 专 家 学 者 的 交 流 与 区 域 金 融 机 构 的 交 流, 形 成 探 究 式 教

多 种 途 径, 让 学 生 通 过 实 践 性 教 学, 事 半 功 倍 地 接 受 理 解 老 师 讲 授 的 知 识, 教 学 过 程 跟 踪 国 外 金 融 市 场 动 态 与 国 内 外 著 名 专 家 学 者 的 交 流 与 区 域 金 融 机 构 的 交 流, 形 成 探 究 式 教 课 程 导 论 一 课 程 概 述 ( 一 ) 课 程 的 性 质 与 定 位 本 课 程 是 投 资 与 理 财 专 业 职 业 核 心 能 力 必 修 课 程, 也 是 考 取 从 业 资 格, 经 纪 人 资 格 理 财 规 划 师 等 职 业 资 格 书 课 程 开 设 本 课 程 是 为 了 培 养 适 应 社 会 主 义 市 场 经 济 要 求 的 全 面 了 解 投 资 及 市 场 的

More information

标题

标题 2011 年 长 三 角 地 区 民 营 经 济 发 展 报 告. 14 2011 年 长 三 角 地 区 民 营 经 济 发 展 报 告 2011 年 是 十 二 五 开 局 之 年, 国 际 环 境 复 杂 多 变, 国 内 经 济 运 行 出 现 了 一 些 新 情 况 和 新 问 题, 面 对 严 峻 挑 战, 长 三 角 广 大 民 营 企 业 坚 持 稳 中 求 进 的 发 展 主 线,

More information

Microsoft PowerPoint - 《??省企?集体合同?例》解?(?莞).ppt [Compatibility Mode]

Microsoft PowerPoint - 《??省企?集体合同?例》解?(?莞).ppt [Compatibility Mode] 广 东 省 企 业 集 体 合 同 条 例 解 读 由 : 广 东 省 人 力 资 源 和 社 会 保 障 厅 劳 动 关 系 处 提 供 第 一 部 分 立 法 修 订 背 景 一 必 要 性 ( 一 ) 修 订 条 例 是 贯 彻 党 十 八 大 及 十 八 届 三 中 全 会 精 神 的 需 要 1 十 八 大 报 告 明 确 提 出 推 行 企 业 工 资 集 体 协 商 制 度, 保 护

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

作 業 系 統 簡 介 光 有 電 腦 硬 體, 會 不 容 易 使 用 必 須 要 有 適 當 的 程 式, 才 方 便 操 作 硬 體 衍 生 作 業 系 統 需 求 : 提 供 方 便 使 用 者 執 行 程 式 平 台 有 效 使 用 各 種 電 腦 硬 體 資 源 Jingo C. Lia

作 業 系 統 簡 介 光 有 電 腦 硬 體, 會 不 容 易 使 用 必 須 要 有 適 當 的 程 式, 才 方 便 操 作 硬 體 衍 生 作 業 系 統 需 求 : 提 供 方 便 使 用 者 執 行 程 式 平 台 有 效 使 用 各 種 電 腦 硬 體 資 源 Jingo C. Lia 第 三 章 作 業 系 統 概 論 Reporter : Jingo C. Liao 廖 正 宏 E-mail : jingo@mail.tku.edu.tw 章 節 列 表 1. 什 麼 是 作 業 系 統 2. 作 業 系 統 的 主 要 功 能 3. 作 業 系 統 的 核 心 程 式 4. 作 業 系 統 的 演 進 歷 史 5. 常 見 流 行 的 作 業 系 統 Jingo C. Liao

More information

单周期数据通路

单周期数据通路 计算机组织与系统结构 设计单周期数据通路的处理器 Designing a Single Cycle Datapath Microprocessor 第四讲 程旭 27..2 2 Processor Processor Control Enable? Read/Write Memory Datapath PC Registers Arithmetic & Logic Unit (ALU) Address

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

untitled

untitled IBM Software Group IBM 2006 IBM Corporation Rational Unified Process VS 2 3 RUP Proven. Practical. Flexible. 4 RUP:, Use-Case,,, (discipline) 5 (Use-case driven), 6 7 8 Rational Unified Process VS 9 Requirements

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

1 目 錄 1. 簡 介... 2 2. 一 般 甄 試 程 序... 2 3. 第 一 階 段 的 準 備... 5 4. 第 二 階 段 的 準 備... 9 5. 每 間 學 校 的 面 試 方 式... 11 6. 各 程 序 我 的 做 法 心 得 及 筆 記... 13 7. 結 論..

1 目 錄 1. 簡 介... 2 2. 一 般 甄 試 程 序... 2 3. 第 一 階 段 的 準 備... 5 4. 第 二 階 段 的 準 備... 9 5. 每 間 學 校 的 面 試 方 式... 11 6. 各 程 序 我 的 做 法 心 得 及 筆 記... 13 7. 結 論.. 如 何 準 備 研 究 所 甄 試 劉 富 翃 1 目 錄 1. 簡 介... 2 2. 一 般 甄 試 程 序... 2 3. 第 一 階 段 的 準 備... 5 4. 第 二 階 段 的 準 備... 9 5. 每 間 學 校 的 面 試 方 式... 11 6. 各 程 序 我 的 做 法 心 得 及 筆 記... 13 7. 結 論... 20 8. 附 錄 8.1 推 甄 書 面 資 料...

More information

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63>

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63> 本 次 发 行 概 况 发 行 股 票 类 型 : 人 民 币 普 通 股 (A 股 ) 发 行 股 数 : 1,120 万 股 每 股 面 值 : 1.00 元 每 股 发 行 价 格 : [ ] 元 预 计 发 行 日 期 : [ ] 年 [ ] 月 [ ] 日 拟 上 市 的 证 券 交 易 所 : 发 行 后 总 股 本 : 深 圳 证 券 交 易 所 4,460 万 股 本 公 司 控 股

More information

<4D6963726F736F667420506F776572506F696E74202D20A46ABEC7A6DBBFECB5FBC5B2AABAC0B3A6B3A740ACB0BB50B9EAB0C8B1B4AA522E70707478>

<4D6963726F736F667420506F776572506F696E74202D20A46ABEC7A6DBBFECB5FBC5B2AABAC0B3A6B3A740ACB0BB50B9EAB0C8B1B4AA522E70707478> 大 學 自 辦 評 鑑 的 應 有 作 為 與 實 務 探 析 主 講 人 : 曾 淑 惠 國 立 臺 北 科 技 大 學 技 術 及 職 業 教 育 研 究 所 教 授 兼 人 文 與 社 會 科 學 學 院 院 長 報 告 大 綱 1 2 3 4 5 大 學 自 辦 評 鑑 的 理 念 當 前 大 學 自 辦 評 鑑 的 核 心 議 題 大 學 自 辦 評 鑑 的 應 有 作 為 大 學 自 辦

More information

高雄市左營國民小學八十九學年度第一學期一年級總體課程教學進度表

高雄市左營國民小學八十九學年度第一學期一年級總體課程教學進度表 高 雄 市 前 鎮 區 紅 毛 港 國 民 小 學 102 學 年 度 第 1 學 期 ( 五 ) 年 級 各 領 域 教 學 進 度 總 表 教 學 者 :( 五 ) 年 級 教 學 團 隊 彈 性 學 習 時 數 -5 節 班 級 活 動 週 別 日 期 一 0830-0901 二 0902-0908 三 0909-0915 四 0916-0922 五 0923-0929 學 校 活 動 學 年

More information

工业和信息化部人才交流中心

工业和信息化部人才交流中心 乐 麦 夫 教 育 电 子 信 息 - 集 成 电 路 紧 缺 人 才 培 养 计 划 集 成 电 路 设 计 系 列 培 训 课 程 超 大 规 模 集 成 电 路 可 测 性 设 计 (DFT) 技 术 与 实 践 培 训 课 程 VLSI DFT Technology and Practice 第 一 期 中 国. 上 海 2016 年 4月 22 日 4 月 23日 各 有 关 单 位 :

More information

<AE48B0F2C2E5C0F82DB2C432A8F7B2C432B4C12E706466>

<AE48B0F2C2E5C0F82DB2C432A8F7B2C432B4C12E706466> 992 2 1 1 2 2 2 IDS 96 IDS IDS IDS IDS 596 1 198 12 31699 1 199 9 30 250401 272/274 B070.2 070.3C070.51 070.54 070.415 277HbA1C39~55%2 60HbA1c

More information

2002/06/25

2002/06/25 2002/06/25 ... 1 GPU... 1 1.... 1 2.... 1 3.... 2 4.... 2 5.... 2 6. MX460... 3 6.1... 3 6.2... 4 7. MX440... 5 7.1... 5 7.2... 6 8. MX420... 7 8.1... 7 8.2... 8 9. MX420D... 9 9.1... 9 9.2... 10 10....11

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

Microsoft Word - 18.doc

Microsoft Word - 18.doc ISSN 1023-2842 山 管 理 評 論 第 ㆓㈩ 卷 第 ㆒ 期 2012 年 ㆔ 號 p.403-422 文 藝 復 興 之 夢 :㆒ 個 微 型 咖 啡 店 的 創 業 歷 程 Dream of Rinascimento: The entrepreneurship of a small coffee shop * 戴 志 言 Chih-Yen Tai 國 立 山 大 業 管 理 系 Department

More information

JAEA-Technology indb

JAEA-Technology indb NBI 1 * 2 1 (2008 3 6 ) PLC 311-0193 801-1 1 2 Update of Control System for Auxiliary Pumping and Primary Water Cooling Facilities in JT-60 NBI Katsumi KIKUCHI 1, Noboru AKINO, Noboru EBISAWA, Yoshitaka

More information

\\Lhh\07-02\黑白\内页黑白1-16.p

\\Lhh\07-02\黑白\内页黑白1-16.p Abstract: Urban Grid Management Mode (UGMM) is born against the background of the fast development of digital city. It is a set of urban management ideas, tools, organizations and flow, which is on the

More information

并行计算

并行计算 并 行 计 算 Parallel Computing 主 讲 人 孙 广 中 Spring, 2016 并 行 计 算 结 构 算 法 编 程 第 一 篇 并 行 计 算 的 基 础 第 一 章 并 行 计 算 与 并 行 计 算 机 结 构 模 型 第 二 章 并 行 计 算 机 系 统 互 连 与 基 本 通 信 操 作 第 三 章 典 型 并 行 计 算 机 系 统 介 绍 第 四 章 并 行

More information

Microsoft Word doc

Microsoft Word doc 中 考 英 语 科 考 试 标 准 及 试 卷 结 构 技 术 指 标 构 想 1 王 后 雄 童 祥 林 ( 华 中 师 范 大 学 考 试 研 究 院, 武 汉,430079, 湖 北 ) 提 要 : 本 文 从 结 构 模 式 内 容 要 素 能 力 要 素 题 型 要 素 难 度 要 素 分 数 要 素 时 限 要 素 等 方 面 细 致 分 析 了 中 考 英 语 科 试 卷 结 构 的

More information

<39392DB0B7AB4FC2F9A4EBA55A2D312E706466>

<39392DB0B7AB4FC2F9A4EBA55A2D312E706466> 編 輯 手 札 有健保 未來會更好 灣共有368個鄉鎮市區 其中有19個離島鄉 包括澎湖 蘭嶼 金門 馬祖等地 台 區 而如何將醫療服務送到離島地區 需要政府和民間共同來努力 健保局從88 年11月起 全面實施 山地離島地區醫療給付效益提昇計畫 Integrated Delivery System, IDS 民眾滿意度達9成以上 本期 IDS計畫 離島居民就醫好安心 內容敘述離島 地區推動IDS實況

More information

Microsoft PowerPoint - ARC110_栾跃.ppt

Microsoft PowerPoint - ARC110_栾跃.ppt ARC110 软 件 构 架 设 计 的 原 则 和 指 南 课 程 内 容 概 述 介 绍 和 引 言 软 件 构 架 和 构 架 师 软 件 构 架 的 设 计 模 式 框 架 和 参 照 设 计 自 我 介 绍 第 一 代 自 费 留 学 生 : 美 国 南 伊 利 诺 州 立 大 学 (SIUE) 电 机 工 程 学 士 (1984) 及 硕 士 学 位 (1985) 历 任 OwensIllinois,

More information

Microsoft PowerPoint - 数据通信-ch1.ppt

Microsoft PowerPoint - 数据通信-ch1.ppt 主 要 内 容 与 基 本 要 求 主 要 内 容 数 据 通 信 与 计 算 机 网 络 计 算 机 网 络 的 发 展 过 程 分 类 以 及 主 要 性 能 指 标 ; 分 组 交 换 的 基 本 原 理 及 其 与 电 路 交 换 报 文 交 换 的 联 系 与 区 别 ; 计 算 机 网 络 的 协 议 与 体 系 结 构 第 1 章 概 述 基 本 要 求 掌 握 分 组 交 换 电 路

More information

嘉義市政府暨附(所)屬機關電話禮貌測試實施要點

嘉義市政府暨附(所)屬機關電話禮貌測試實施要點 嘉 義 市 政 府 暨 所 屬 機 關 電 話 禮 貌 測 試 實 施 要 點 83 年 8 月 17 日 83 府 計 研 字 第 50337 號 函 頒 90 年 10 月 12 日 90 府 企 研 字 第 87210 號 修 訂 95 年 12 月 20 日 95 府 企 研 字 第 0950141214 號 函 修 訂 97 年 3 月 21 日 97 府 企 研 字 第 0970110046

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

The Development of Color Constancy and Calibration System

The Development of Color Constancy and Calibration System The Development of Color Constancy and Calibration System The Development of Color Constancy and Calibration System LabVIEW CCD BMP ii Abstract The modern technologies develop more and more faster, and

More information

Our Mission ICAPlants has been working since a long time in industrial automation, developing specific solutions for many industrial purposes to satis

Our Mission ICAPlants has been working since a long time in industrial automation, developing specific solutions for many industrial purposes to satis Tyres Assembly Systems Our Mission ICAPlants has been working since a long time in industrial automation, developing specific solutions for many industrial purposes to satisfy Customers worldwide. Our

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

m 3 m m 84 m m m m m m m

m 3 m m 84 m m m m m m m 2011 8 8 155 JOURNAL OF RAILWAY ENGINEERING SOCIETY Aug 2011 NO. 8 Ser. 155 1006-2106 2011 08-0096 - 06 430063 1 2 3 U231 +. 4 A Design of Underground Space of Furong Square Station of Urban Rail Transit

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

, 即 使 是 在 昏 暗 的 灯 光 下, 她 仍 然 可 以 那 么 耀 眼 我 没 有 地 方 去, 你 会 带 着 我 么 杜 晗 像 是 在 嘲 笑 一 般, 嘴 角 的 一 抹 冷 笑 有 着 不 适 合 这 个 年 龄 的 冷 酷 和 无 情, 看 着 江 华 的 眼 神 毫 无 温

, 即 使 是 在 昏 暗 的 灯 光 下, 她 仍 然 可 以 那 么 耀 眼 我 没 有 地 方 去, 你 会 带 着 我 么 杜 晗 像 是 在 嘲 笑 一 般, 嘴 角 的 一 抹 冷 笑 有 着 不 适 合 这 个 年 龄 的 冷 酷 和 无 情, 看 着 江 华 的 眼 神 毫 无 温 爱 情 飞 过 苍 凉 / 作 者 :18758265241 1 红 色 格 子 的 旅 行 箱, 在 湿 漉 漉 地 上 发 出 刺 啦 刺 啦 的 声 音, 那 么 刺 耳, 就 像 是 此 刻 杜 晗 的 里 一 样, 烦 躁 而 不 安 就 这 样 走 出 来 了,18 年 禁 锢 自 己 的 地 方 就 在 身 后, 杜 晗 手 指 关 节 泛 白, 紧 紧 地 拉 着 旅 行 箱, 走

More information

经华名家讲堂

经华名家讲堂 5.1 5.1.1 5.1.2 5.2 5.2.1 5.2.2 5.2.3 5.2.4 5.2.5 5.3 5.3.1 5.3.2 5.3.3 / 5.3.4 / 5.3.5 / 5.4 Internet 5.4.1 Internet 5.4.2 Intranet 1. 2. 1 31 5 5.1 5.1.1 Internet 1 Host 20 60 IBM 2000 2 20 60 20 60

More information

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持 第 一 篇 知 己 知 彼, 百 战 不 殆 基 本 评 估 篇 第 一 章 认 识 自 我 我 就 是 一 座 金 矿 人 啊, 认 识 你 自 己! 塔 列 斯 ( 希 腊 学 者 ) 要 想 知 道 去 哪 儿, 必 须 先 知 道 你 现 在 在 哪 儿 和 你 是 谁 茜 里 娅. 德 纽 斯 ( 美 国 职 业 指 导 学 家 ) 本 章 提 要 了 解 认 识 自 我 在 职 业 生

More information

SylixOS nbench 性能对比 修订历史版本 日期 原因 V /07/24 创建文档 V /11/29 修改文档模板 i

SylixOS nbench 性能对比 修订历史版本 日期 原因 V /07/24 创建文档 V /11/29 修改文档模板 i Test Report SylixOS 实时性能 TR0010010001 V1.00 Date: 2015/12/03 类别 内容 关键词 SylixOS ARMv7A nbench 摘 要 利用 nbench 测试 SylixOS 在 ARMv7A 处理器上的定点运算 浮点运算和内存性能 SylixOS nbench 性能对比 修订历史版本 日期 原因 V1.00 2015/07/24 创建文档

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

96上第一次教務會議紀錄.doc

96上第一次教務會議紀錄.doc 南 華 大 學 九 十 六 學 年 度 第 一 學 期 第 一 次 教 務 會 議 紀 錄 一 日 期 : 九 十 六 年 十 一 月 二 十 八 日 下 午 二 時 三 十 分 二 地 點 : 成 均 館 三 樓 會 議 室 三 列 席 指 導 : 陳 校 長 淼 勝 四 主 席 : 蔡 教 務 長 加 春 記 錄 : 翁 國 峰 五 出 席 人 員 : 釋 學 務 長 慧 開 ( 請 假 ) 陳

More information

綠色產業、生活風格與健康論述:有機食品消費之社會文化分析

綠色產業、生活風格與健康論述:有機食品消費之社會文化分析 國 立 台 灣 大 學 建 築 與 城 鄉 研 究 學 報 第 十 九 期 民 國 一 百 零 一 年 六 月 研 究 論 文 第 43 頁 64 頁 Journal of Building and Planning, National Taiwan University Number 19, Jun. 2012, Research, pp. 43-64 消 失 的 理 想 住 宅 方 案 劉 欣

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

1.3

1.3 Software Engineering 软 件 工 程 Prof. Mei Hong 洪 玫 College of Computer Science and Software Engineering 四 川 大 学 计 算 机 ( 软 件 学 院 ) E-Mail: hongmei@scu.edu.cn Office: B502, Second Laboratory Building, Jiangan

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

ERP-1

ERP-1 IEEM 3041 ERP Enterprise Resource Planning ERP The Chain from Original Supply to Final Consumption INFORMATION FLOW Transfer Transfer Transfer Transfer Supplier Manufacturing Distribution Retail Outlet

More information

102buddhist

102buddhist 102 學 年 度 佛 教 學 系 學 士 班 獨 立 招 生 簡 章 一 律 網 路 報 名 本 校 首 頁 http://www.fgu.edu.tw/ 新 鮮 人 入 口 網 招 生 考 試 報 名 系 統 進 入 系 統 輸 入 報 名 資 料 ) 26247 宜 蘭 縣 礁 溪 鄉 林 美 村 林 尾 路 160 號 電 話 :(03)9871000 轉 27201~3 網 址 :http://www.fgu.edu.tw

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

<4D6963726F736F667420576F7264202D2032345FA1B632303130C4EAB9F3D6DDBDF0C8DAD4CBD0D0B1A8B8E6A1B7B6A8B8E5>

<4D6963726F736F667420576F7264202D2032345FA1B632303130C4EAB9F3D6DDBDF0C8DAD4CBD0D0B1A8B8E6A1B7B6A8B8E5> 21 年 贵 州 省 金 融 运 行 报 告 中 国 人 民 银 行 贵 阳 中 心 支 行 货 币 政 策 分 析 小 组 [ 内 容 摘 要 ] 21 年, 贵 州 省 克 服 了 特 大 旱 灾 带 来 的 不 利 影 响, 实 现 了 经 济 平 稳 较 快 发 展, 基 本 完 成 十 一 五 规 划 的 主 要 目 标 任 务, 全 省 经 济 在 加 快 发 展 中 提 高 了 经 济

More information