Microsoft PowerPoint - chap04.ppt

Similar documents
z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

untitled

untitled

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

第一章.doc

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

ebook122-11

untitled

untitled

1 1

5. 閱 讀 下 文, 推 斷 內 最 適 合 填 入 的 詞 語 依 序 為 何? 人 也 真 是 一 個 絕 字, 一 邊 向 左, 一 邊 向 右, 一 副 的 樣 子, 偏 又 相 連 著, 各 說 各 話 各 走 各 路, 卻 又 人, 這 麼 一 個 簡 單 的 字, 竟 包 含 如 此


a b c d e f g C2 C1 2

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

Microsoft Word - 第五章

程式人雜誌

穨R _report.PDF

Microsoft PowerPoint - chap05.ppt

ebook105-1

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

<4D F736F F D C4EAC8EBD1A74D4241C1AABFBCD7DBBACFB2CEBFBCB4F0B0B8BCB0CFEABDE22E646F6378>

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在

2012年 MBA系统班数学应用题部分

!!""# #$ # %& (&)* +,-./* /*+ 4-.* / /+!""#: ;-1< #$: =-< #!""" > > #??? #??? #??> ## #??? >!$ > > $"

一、审计的分类



101

!"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11

!! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3

<4D F736F F D20B5DAD2BBC6AA20BBF1BDB1BCB0C8CBB2C5C5E0D1F8B5C8B2BFB7D6C4BFC2BC>

!##$!% "&! %( $#!##)!& $!##*!##*! "

<4D F736F F D20BCD2CDA5B1A3BDA1D2A9C9C5BEC62020CFC2>

*33*!!! "!! #$! %#! "& "! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +# ( ) 0 $.# ( ) 0 $ # $! % "" " % 1 % & ( * ) * % " " %.! % 2!!"+# ( "&! " ( "#

列 出 所 有 的 非 負 整 數 解, 係 數 越 大 者 越 先 決 定, 故 先 決 定 z, 再 決 定 y, 最 後 決 定 x, 故 有 = 8 ( 種 ) x y z

三維空間之機械手臂虛擬實境模擬

第一章

Microsoft PowerPoint - chap02.ppt

IC芯片自主创新设计实验

Python a p p l e b e a r c Fruit Animal a p p l e b e a r c 2-2

A.68 B.70 C.80 D.100 答 案 A 解 析 丁 产 品 的 可 变 现 净 值 =110-2=108( 万 元 ), 成 本 =100+40=140( 万 元 ), 可 变 现 净 值 低 于 成 本, 产 品 发 生 的 减 值, 所 以 丙 材 料 的 可 变 现 净 值 =1

ebook122-3

山东建筑大学学分制管理规定(试行)


2 A

VN-Cover

2/80 2

教 案 ( 首 页 ) 课 课 编 号 结 构 力 学 总 计 :80 学 时 名 称 学 分 5 其 中 : 类 别 必 修 课 ( ) 选 修 课 ( ) 理 论 课 ( ) 实 验 课 ( 讲 课 :80 学 时 ) 实 验 : 学 时 任 课 教 师 曹 志 翔 职 称 副 教

记 忆 155 期 北 京 大 学 文 革 专 辑 (9) 目 录 专 稿 章 铎 从 高 云 鹏 的 遭 遇, 看 迟 群 之 流 的 专 制 附 : 高 云 鹏 给 胡 宗 式 章 铎 的 信 (2015 年 11 月 19 日 ) 评 论 马 云 龙 王 复 兴 抢 救 记 忆 : 一 个 北

硕士论文正文


不 会 忘 记, 历 史 不 会 忘 记, 当 一 个 古 老 神 州 正 以 崭 新 的 姿 态 昂 首 屹 立 于 世 界 东 方 的 时 候, 当 世 界 把 延 伸 的 广 角 镜 瞄 准 这 片 神 奇 土 地 的 时 候, 中 国 人 民 已 深 深 感 到, 现 在 所 拥 有 的,

第一章

标题

Microsoft Word - media-tips-zh.doc


第六篇守势




第 二 章 鉴 证 业 务 的 定 义 和 目 标 第 五 条 鉴 证 业 务 是 指 注 册 会 计 师 对 鉴 证 对 象 信 息 提 出 结 论, 以 增 强 除 责 任 方 之 外 的 预 期 使 用 者 对 鉴 证 对 象 信 息 信 任 程 度 的 业 务 鉴 证 对 象 信 息 是 按

A 单 位 负 责 人 B 会 计 机 构 负 责 人 C 会 计 主 管 人 员 D 会 计 人 员 多 选 题 : 1. 单 位 伪 造 变 造 会 计 凭 证 会 计 账 簿, 编 制 虚 假 财 务 会 计 报 告 的, 县 级 以 上 人 民 政 府 财 政 部 可 以 依 法 行 使 的

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星

ebook121-1

Ps22Pdf

untitled

untitled

JT ( a +) ( a ) a + ( 0 ) a 0 a ( 0 ) a a ( 0 ) a a ( 0 ) a a ( 0 ) a b log a b log a log a b log a log a b log a log a b log a log a d b b b b

公共圖書館利用教育方案規劃之研究


<4D F736F F D B0EABB79A4E5B8D5C344BBBCB065AAA9>


康體藝術


HSK(基础)样题

山东2014第四季新教材《会计基础》冲刺卷第二套

Microsoft Word - 09.數學 docx

Microsoft PowerPoint - STU_EC_Ch04.ppt

2013 年 大 陸 書 市 觀 察 2013 年, 新 笑 傲 江 湖 也 引 起 熱 烈 討 論, 首 播 當 晚 已 居 黃 金 檔 電 視 劇 收 視 冠 軍, 進 而 帶 動 了 該 作 品 的 圖 書 銷 量, 之 後 隋 唐 英 雄 傳 精 忠 嶽 飛 等 也 紛 紛 改 編 成 電


数量关系部分题目溯源:

Microsoft PowerPoint - STU_EC_Ch08.ppt

8

山东2014第四季新教材《会计基础》冲刺卷第三套

cumcm0110.PDF

$""$!# # )*+,*-.+/ / 812.9/ : ;2364<+ =5<+3/ $""$ $!( $"""!# %% $! $%"" $%""!& (!#!& & $""" $""$!""""#

!!" #" $" #%%& #%%& #

C 1 # include <stdio.h> 2 int main ( void ) { 4 int cases, i; 5 long long a, b; 6 scanf ("%d", & cases ); 7 for (i = 0;i < cases ;i ++) 8 { 9

<4D F736F F D20C6C0BCB6B1A8B8E6B7E2C3E6A3A8C8F0B0B2B9FACDB6B8FAD7D9A3A9>

B. 高 估 自 己 C. 低 估 自 己 D. 发 掘 特 长 解 析 : 自 知, 就 是 认 识 自 己 ; 自 己 明 了 ; 或 自 然 知 晓 自 己 有 什 么 特 点, 优 势 劣 势, 自 己 都 很 清 楚 BC 说 法 都 不 对,D 说 法 不 符 合 题 意, 所 以 选

AMP NETCONNECT

ebook14-4

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为

<4D F736F F D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63>

萧山中学课程建设方案.doc


Microsoft Word - 9pinggb_A4.doc

Transcription:

第四章 組合邏輯 4- 組合電路

4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2

BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3

4-4 二進位加法器 --- 減法器 半加法器 (half adder) x y S C S = x y + xy C = xy 半加法器 電路 4

全加法器 真值表 x y z C S S = x y z + x yz + x y z + xyz C = xy + yz + xz 全加法器 卡諾圖 5

全加法器 電路 二進位加法器 A = B = S = 6

進位傳播 進位產生 Gi 進位傳播 Pi P = A B i i i G = A B i i i Si = Pi Ci C = G + PC i+ i i i 進位遞迴產生器 7

具有進位遞迴的 4 位元加法器 二進位減法器 4 位元加法器 --- 減法器 8

4-5 十進位加法 / 器 BCD 加法器的推導 BCD 加法器電路 9

4-6 二進位乘法器 2 位元乘 2 位元 4 位元乘 3 位元之二進位乘法器

4-7 大小比較器 A = A B = B 3A2 A A 3B2B B x = A B + A B i i i i i ( A = B) = x x x x 3 3 2 3 ( A > B) = A B + x A B + x x A B + x x x A B 3 3 3 3 2 2 2 ( A < B) = A B + x A B + x x A B + x x x A B 2 3 3 2 2 3 3 2 2 4 位元大小比較器

4-8 解碼器 具有致能輸入之 2 對 4 線解碼器 2

利用 3x8 解碼器建立 4x6 解碼器 利用解碼器實現組合邏輯電路 S( x, y, z) = (,2,4,7) C( x, y, z) = (3,5,6,7) 3

4-9 編碼器 z = D + D y = D x = D 2 4 3 + D + D 3 5 + D 5 + D + D 6 6 + D 7 + D + D 7 7 優先權編碼器之真值表 4

優先權編碼器卡諾圖 4 輸入優先權編碼器 x = D 2 y = D 3 V = D + D 3 + D D 2 + D + D 2 + D 3 5

4- 多工器 (Multiplexers) 4 對 線多工器 6

四重 2 對 線多工器 利用多工器實現布林函數 F( x, y, z) = (,2,6,7 ) 7

利用多工器實現一個 4 輸入函數 F( A, B, C, D) = (,3,4,,2,3,4,5 ) 三態閘 8

利用三態閘構成多工器 4- 組合電路的硬體描述語言 閘階層模型關鍵字 and nand or nor xor xnor not buf 9

HDL 範例 4- (2 對 4 線解碼器的閘階層描述 ) //Gate-level description of a 2-to-4-line decoder //Figure 4-9 module decoder_gl (A,B,E,D); input A,B,E; output [:3]D; wire Anot,Bnot,Enot; not n (Anot,A), n2 (Bnot,B), HDL 範例 4- (2 對 4 線解碼器的閘階層描述 ) n3 (Enot,E); nand n4 (D[],Anot,Bnot,Enot), n5 (D[],Anot,B,Enot), n6 (D[2],A,Bnot,Enot), n7 (D[3],A,B,Enot); endmodule 2

HDL 範例 4-2 (4 位元加法器之底部向上層次化描述 ) //Gate-level hierarchical description of 4-bit adder // Description of half adder (see Fig 4-5b) module halfadder (S,C,x,y); input x,y; output S,C; //Instantiate primitive gates xor (S,x,y); and (C,x,y); HDL 範例 4-2 (4 位元加法器之底部向上層次化描述 ) endmodule //Description of full adder (see Fig 4-8) module fulladder (S,C,x,y,z); input x,y,z; output S,C; wire S,D,D2; //Outputs of first XOR and two AND gates //Instantiate the halfadder halfadder HA (S,D,x,y), 2

HDL 範例 4-2 (4 位元加法器之底部向上層次化描述 ) HA2 (S,D2,S,z); or g(c,d2,d); endmodule //Description of 4-bit adder (see Fig 4-9) module _4bit_adder (S,C4,A,B,C); input [3:] A,B; input C; HDL 範例 4-2 (4 位元加法器之底部向上層次化描述 ) output [3:] S; output C4; wire C,C2,C3; //Intermediate carries //Instantiate the fulladder fulladder FA (S[],C,A[],B[],C), FA (S[],C2,A[],B[],C), FA2 (S[2],C3,A[2],B[2],C2), FA3 (S[3],C4,A[3],B[3],C3); endmodule 22

三態閘 bufif (OUT, A, control); notif (Y, B, enable); 具有三態緩衝器之 2 對 線多工器 module muxtri (A, B, select, OUT); input A, B, select; output OUT; tri OUT; bufif (OUT, A, select); bufif (OUT, B, select); endmodule 23

資料流程模型 Verilog HDL 運算子 HDL 範例 4-3 (2 對 4 線解碼器的資料流程資料流程描述 ) //Dataflow description of a 2-to-4-line decoder //See Fig.4-9 module decoder_df (A,B,E,D); input A,B,E; output [:3] D; assign D[] = ~(~A & ~B & ~E), D[] = ~(~A & B & ~E), D[2] = ~(A & ~B & ~E), D[3] = ~(A & B & ~E); endmodule 24

HDL 範例 4-4 (4 位元加法器之資料流程描述 ) //Dataflow description of 4-bit adder module binary_adder (A,B,Cin,SUM,Cout); input [3:] A,B; input Cin; output [3:] SUM; output Cout; assign {Cout,SUM} = A + B + Cin; endmodule HDL 範例 4-5 ( 大小比較器之資料流程描述 ) //Dataflow description of a 4-bit comparator. module magcomp (A,B,ALTB,AGTB,AEQB); input [3:] A,B; output ALTB,AGTB,AEQB; assign ALTB = (A < B), AGTB = (A > B), AEQB = (A = = B); endmodule 25

HDL 範例 4-6 (2 對 線多工器使用條件式運算子描述 ) //Dataflow description of 2-to--line multiplexer module mux2x_df (A,B,select,OUT); input A,B,select; output OUT; assign OUT = select? A : B; endmodule 行為模型 HDL 範例 4-7 (2 對 線多工器使用條件式運算子描述 ) //Behavioral description of 2-to--line multiplexer module mux2x_bh(a,b,select,out); input A,B,select; output OUT; reg OUT; always @ (select or A or B) if (select == ) OUT = A; else OUT = B; endmodule 26

HDL 範例 4-8 (4 對 線多工器之行為描述 ) //Behavioral description of 4-to-- line multiplexer //Describes the function table of Fig. 4-25(b). module mux4x_bh (i,i,i2,i3,select,y); input i,i,i2,i3; input [:] select; output y; reg y; HDL 範例 4-8 (4 對 線多工器之行為描述 ) always @ (i or i or i2 or i3 or select) case (select) 2'b: y = i; 2'b: y = i; 2'b: y = i2; 2'b: y = i3; endcase endmodule 27

測試平台 一個模擬模組是具有下列形式的 HDL 程式 module 測試名稱 宣告特有的 reg 和 wire 識別字 在測試下例示設計模組 利用 initial 及 always 敘述產生模擬 顯示輸出響應 endmodule 系統功能 $display-- 顯示具有 end-of-line return 之變數或字串的一次值 $write 與 $display 相同, 但是沒有到下一行 $monitor 當在模擬期間值改變時及顯示變數 $time-- 顯示模擬時間 $finish-- 結束模擬 28

模擬與設計的交互模組 HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) //Stimulus for mux2x_df. module testmux; reg TA,TB,TS; //inputs for mux wire Y; //output from mux mux2x_df mx (TA,TB,TS,Y); // instantiate mux initial 29

HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) begin TS = ; TA = ; TB = ; # TA = ; TB = ; # TS = ; # TA = ; TB = ; end HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) initial $monitor("select = %b A = %b B = %b OUT = %b time = %d", TS, TA, TB, Y, $time); endmodule 3

HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) //Dataflow description of 2-to--line multiplexer //from Example 4-6 module mux2x_df (A,B,select,OUT); input A,B,select; output OUT; assign OUT = select? A : B; endmodule HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) Simulatiom log: select = A= B= OUT= time= select = A= B= OUT= time= select = A= B= OUT= time=2 select = A= B= OUT= time=3 3

HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) //Gate-level description of circuit of Fig. 4-2 module analysis (A,B,C,F,F2); input A,B,C; output F,F2; wire T,T2,T3,F2not,E,E2,E3; or g (T,A,B,C); and g2 (T2,A,B,C); HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) and g3 (E,A,B); and g4 (E2,A,C); and g5 (E3,B,C); or g6 (F2,E,E2,E3); not g7 (F2not,F2); and g8 (T3,T,F2not); or g9 (F,T2,T3); endmodule 32

HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) //Stimulus to analyze the circuit module test_circuit; reg [2:]D; wire F,F2; analysis fig42(d[2],d[],d[],f,f2); initial begin HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) D = 3'b; repeat(7) # D = D + 'b; end initial $monitor ("ABC = %b F = %b F2 =%b ", D, F, F2); endmodule 33

HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) Simulation log: ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= 34