101

Size: px
Start display at page:

Download "101"

Transcription

1 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr.

2 Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis 仿真 Simultion 原型 Prototyping ALU 最终选择 Finl Selection 实现和测试 Implementtion nd testing 2 19 Septemer 2017

3 Contents 内容 Logic Design nd Modeling in Truth Tle 逻辑设计与用真值表的建模 Building Blocks of Digitl Systems 数字系统的构建块 Memory Design 存贮器设计 Arithmetic / Logic Unit (ALU) Design & Testing 算术 / 逻辑部件 ALU 的设计与测试 Simulte ALU Design in VIPLE 用 VIPLE 仿真 ALU 设计 3

4 Logic Design: Anlogue versus Digitl 逻辑设计 : 模拟与数字 tnk dil empty Tnk 水箱 full infinite rnge of vlues 4 Tnk 水箱 light : on / off finite set of vlues Tnk 水箱

5 Propositionl Logic nd its Elements 命题逻辑及其构成要素 5 Propositionl logic is lnguge for modeling nd specifiction 命题逻辑是一种建模和规范语言 Proposition: A sttement tht cn either e true or flse: 命题 : 一个语句, 它的的值可以是真, 或是假 One plus two is three There re two Noel prize winners t Arizon Stte University The sky is lue How old re you? You must ride ike to school! Logic connectives AND ( ), OR ( ), NOT ( ), IMPLIES ( ) light is on tnk is full (Light is off) (ul is not roken) tnk is empty Truth nd flsity vlues Truth Tle 真值表

6 真值表是逻辑规范 / 电路模型 Truth Tle is Logic Specifiction/Model for Circuits NOT propositionl vrile P flse true sttement P true flse AND P Q P Q flse flse flse flse true flse true flse flse true true true

7 Truth Tle 真值表 (Contd.) OR P Q P Q flse flse flse flse true true true flse true true true true

8 Building Blocks of Digitl Circuits 数字线路的基本构件 Building Blocks Truth Tles AND gte c = c OR gte c = c NOT c = c NAND gte c = c NOR gte c = c c XOR gte c = Multiplexor 0 1 e0 If (e0=0) (f=) else (f=); f 4-1 Multiplexor c d e e0 f e1 e0 f c 1 1 d

9 1-it 1-it 1-it 1-it 1-it 1-it 1-it 1-it Memory Design 存储器设计 : it nd Byte set Q' output reset Q 01 input One it cn store 1 or 0 One-it memory design output 9 8 its = 1 Byte Cn store chrcter Or short integer Most significnt it input Lest significnt it

10 Memory: Word, Long Word 存储器 : 字, 长字 In 32-it computer: 4 Bytes = 1 word nd 8 ytes = 1 doule word Word 字 Byte 1 Byte 1 Byte 1 Byte Cn store: int nd flot doule word 双字 Byte 1 Byte 1 Byte 1 Byte Byte 1 Byte 1 Byte 1 Byte Cn store: long int nd doule flot 10

11 The Entire Memory, with 32-it ddress spce nd yte-ddressle 整个内存,32 位地址空间和字节可寻址 Hex ddress h h h Ch h h h Ch h h h Ch h FFFFFFF0h FFFFFFF4h FFFFFFF8h FFFFFFFCh 11

12 Five Component Model of Computer -- A Conceptul Model 计算机的 5- 部件概念模型 Processor 处理器 Control Unit 控制器 Memory 存储器 Peripherls 外设 Input 输入 ALU Output 输出 12 Bus 总线

13 Arithmetic/Logic Unit (ALU) 算术 / 逻辑单元 Opertion code (3) ALU 操作码 Opertion code 功能 function AND OR ADD SUB Adder 13

14 Truth Tle nd Design of One-Bit Adder 真值表和 1- 位加法器的设计 input Truth Tle output = = c = crryin crryout Sum sum crryin crryout 14 One-it dder

15 One-Bit ALU Design 1 位 -ALU 的设计 Inputs crryout r 15 CrryIn opertion 1-it ALU opertion function AND OR ADD SUB r crryout Six inputs nd two outputs Prtil Truth Tle crryin d d d d d d d d d d d d d d d AND Component-sed design opertion OR r ADD SUB crryout

16 32-Bit ALU with 96 Inputs 32-ALU, 有 96 条输入线 opertion function AND 0 0 CrryIn 0 1-it ALU opertion r OR ADD SUB it ALU r 1 Opertion (3). ALU it ALU r crryout

17 Simultion of 1-it Adder 1- 位计算器仿真 One-it dder logic design sum crryin crryout VIPLE Implementtion 17 Define Before Using: Define the input whenever you see wrning sign

18 Testing the One-Bit Adder 测试 1- 位加法器

19 Converting Deciml to Binry Pttern for Automted Test Cse Genertion 为自动测试输入生成, 把二进制转换成十进制 19 CountTo7 crryin if CountTo7 = 0, 1, 2, 3, then = 0, else = 1; if CountTo7 = 0, 1, 4, 5, then = 0, else = 1; if CountTo7 = 0, 2, 4, 6, then crryin = 0, else crryin = 1; 19

20 Automted Test Cse Genertion 自动测试输入生成 Count from 0 to 7 Test Cses Count from 0 to 63 for 1-it ALU 20

21 One-Bit ALU 1- 位算逻部件的设计 opertion CrryIn 0 CrryIn opertion r 1-it ALU r crryout 21 2-to-1 multiplexer 1-it dder crryout 4-to-1 multiplexer

22 One-Bit ALU VIPLE Implementtion 1- 位算逻部件的实现 Yinong Define ChenBefore Using: Define the input whenever you see wrning sign

23 Testing the One-Bit ALU 测试 1- 位算逻部件的

24 Automted Test Cse Genertion 自动测试输入生成 Count from Count from 0 to 63 for 0 to 7 1-it ALU Test Cses 63 24

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

1 1 1 1 2 3 3 3 4 4 5 5 5 6 6 7 7 9 9 9 10 11 11 11 1. 2. 3. 4. 1 2 11 12 12 12 12 13 13 13 15 15 16 16 17 18 18 18 19 19 19 20 20 20 21 22 22 22 23 23 1. 2. 23 25 27 27 28 28 28 29 29 29 30 31 31 31 32

More information

C/C++语言 - C/C++数据

C/C++语言 - C/C++数据 C/C++ C/C++ Table of contents 1. 2. 3. 4. char 5. 1 C = 5 (F 32). 9 F C 2 1 // fal2cel. c: Convert Fah temperature to Cel temperature 2 # include < stdio.h> 3 int main ( void ) 4 { 5 float fah, cel ;

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

3.1 num = 3 ch = 'C' 2

3.1 num = 3 ch = 'C' 2 Java 1 3.1 num = 3 ch = 'C' 2 final 3.1 final : final final double PI=3.1415926; 3 3.2 4 int 3.2 (long int) (int) (short int) (byte) short sum; // sum 5 3.2 Java int long num=32967359818l; C:\java\app3_2.java:6:

More information

2016 52 2016 2016 2016 86 2016 2014 21 2016 1 61 1312 510623 38923587 38923586 2016 11 30 2016 12 11 10 2016 1. 2. 2 3. 3 1. 2. 3 3. 2013 2014 2015 1. 2. 3. 1. 2. 3. 4. 1. 1 1 2. 2 3. 1 2 2 4. 1 2 CN F

More information

PowerPoint Presentation

PowerPoint Presentation ITM omputer and ommunication Technologies Lecture #4 Part I: Introduction to omputer Technologies Logic ircuit Design & Simplification ITM 計算機與通訊技術 2 23 香港中文大學電子工程學系 Logic function implementation Logic

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

/ / (FC 3)...

/ / (FC 3)... Modbus/TCP 1.0 1999 3 29 Andy Swales Schneider aswales@modicon.com ... 2 1.... 3 2.... 3 2.1.. 3 2.2..4 2.3..4 2.4... 5 3.... 5 3.1 0... 5 3.2 1... 5 3.3 2... 6 3.4 / /... 7 4.... 7 5.... 8 5.1 0... 9

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

Microsoft PowerPoint - STU_EC_Ch04.ppt

Microsoft PowerPoint - STU_EC_Ch04.ppt 樹德科技大學資訊工程系 Chapter 4: Boolean Algebra and Logic Simplification Shi-Huang Chen Fall 200 Outline Boolean Operations and Expressions Laws and Rules of Boolean Algebra DeMorgan's Theorems Boolean Analysis

More information

Microsoft Word - Book9

Microsoft Word - Book9 葬 書 ( 下 ) 佈 陣 十 方 成 立 指 揮 中 心 層 巒 疊 障 千 山 翠 微, 紓 回 連 綿 的 重 山 復 重 山, 侍 朝 衛 迎, 前 後 有 序, 巋 巘 隱 逸 著 一 片 風 水 寶 地, 牛 臥 馬 馳, 鸞 飛 鳳 舞, 滕 蛇 委 蛇, 縈 藟 纏 繞 在 葺 襲 的 斷 續 峰 巒 之 間! 離 正 午 十 二 時 整 還 有 半 個 鐘 頭, 接 近 天 頂 的

More information

ebook121-1

ebook121-1 1 10, 10 O I A B C Z 26 B A D G How are you? 131 morse code A 2 6 Z How are you 32 131 d o t d a s h 2 c o d e ( ) B r a i l l e 1 3 A 3 1 3 A A 1 3 h e l l o 2 3 6 hi there E T Q Z 10 S O S S O S B A

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315.

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315. 31 5 2011 10 JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct. 2011 1000-1301 2011 05-0075 - 09 510405 1 /35 TU3521 P315. 8 A Earthquake simulation shaking table test and analysis

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239>

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239> 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 学 生 工 作 部 ( 处 ) 二 〇 一 五 年 七 月 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 编 委 会 主 编 于 成 文 盛 佳 伟 编 委 ( 按 姓 氏 笔 画 排 序 ) 丁 煦 生 尹 兆 华 龙 洋 史 立 伟 曲 涛 刘 晓 东 杜 振 民 杨 雄 何 进 宋 波 张 卫 冬 张 卫 钢 张 文

More information

桂林市劳动和社会保障局关于

桂林市劳动和社会保障局关于 桂 林 市 人 力 资 源 和 社 会 保 障 局 文 件 市 人 社 发 2012 60 号 桂 林 市 人 力 资 源 和 社 会 保 障 局 关 于 2012 年 秘 书 等 十 八 个 职 业 国 家 职 业 资 格 全 国 全 区 统 一 考 试 有 关 问 题 的 通 知 各 有 关 单 位 : 根 据 自 治 区 人 力 资 源 和 社 会 保 障 厅 关 于 做 好 2012 年 国

More information

Microsoft Word 年度选拔硕博连读研究生的通知.doc

Microsoft Word 年度选拔硕博连读研究生的通知.doc 南 工 (2015) 研 字 第 3 号 关 于 选 拔 2015 年 度 硕 博 连 读 研 究 生 的 通 知 各 有 关 学 院 : 为 适 应 我 国 构 建 社 会 主 义 和 谐 社 会 和 建 设 创 新 型 国 家 的 战 略 需 要, 加 快 拔 尖 创 新 人 才 的 培 养, 我 校 决 定 继 续 推 荐 和 选 拔 一 批 基 本 素 质 好 业 务 基 础 强 品 学 兼

More information

附 件 : 湖 北 省 会 计 人 员 继 续 教 育 实 施 办 法 第 一 条 为 规 范 会 计 人 员 继 续 教 育 工 作, 加 强 持 有 会 计 从 业 资 格 证 书 人 员 ( 以 下 简 称 会 计 人 员 ) 继 续 教 育 的 管 理, 推 进 全 省 会 计 人 员 继 续 教 育 工 作 科 学 化 规 范 化 信 息 化, 培 养 造 就 高 素 质 的 会 计 队

More information

2016 28 2016 2013 50 2013 69 2016 2016 10 2016 1 2016 24 2016 1 2016 2016 7 1 2017 6 30 1 2016 2 2012 17 2016 2016 24 2016 1 2016 1 2016 1 2016 1 2016 2016 24 2016 24 2016 24 24 12 2016 CN 24 24 12 2016

More information

两种营养盐测定结果的比较-修改稿.doc

两种营养盐测定结果的比较-修改稿.doc 和 对 营 养 盐 测 定 结 果 的 比 较 张 利 华 ( 华 东 师 范 大 学 河 口 海 岸 国 家 重 点 实 验 室, 上 海 262) 摘 要 本 文 按 海 洋 监 测 规 范 ( GB17378-1998) 和 海 洋 调 查 规 范 ( GB8538-1995) 的 测 定 原 理, 对 不 同 浓 度 范 围 的 营 养 盐 分 别 使 用 与 进 行 同 时 测 定 结 果

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

Microsoft Word doc

Microsoft Word doc 中 考 英 语 科 考 试 标 准 及 试 卷 结 构 技 术 指 标 构 想 1 王 后 雄 童 祥 林 ( 华 中 师 范 大 学 考 试 研 究 院, 武 汉,430079, 湖 北 ) 提 要 : 本 文 从 结 构 模 式 内 容 要 素 能 力 要 素 题 型 要 素 难 度 要 素 分 数 要 素 时 限 要 素 等 方 面 细 致 分 析 了 中 考 英 语 科 试 卷 结 构 的

More information

2014春小学、幼儿园校本教研总结.doc

2014春小学、幼儿园校本教研总结.doc 2014 年 春 石 狮 市 小 学 幼 儿 园 石 狮 市 教 师 进 修 学 校 2014 年 9 月 目 录 小 学 : 2014 年 春 实 验 小 学 校 本 教 研 工 作 总 结 (002) 2014 年 春 第 二 实 验 小 学 校 本 教 研 工 作 总 结 (007) 2014 年 春 第 三 实 验 小 学 校 本 教 研 工 作 总 结 (012) 2014 年 春 第 四

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

建筑环境与能源应用工程专业规范

建筑环境与能源应用工程专业规范 建 筑 环 境 与 设 备 工 程 ( 建 筑 环 境 与 能 源 应 用 工 程 ) 专 业 规 范 ( 征 求 意 见 稿 ) 住 房 和 城 乡 建 设 部 高 等 学 校 建 筑 环 境 与 设 备 工 程 专 业 指 导 委 员 会 2012 年 10 月 20 日 目 录 1 专 业 状 况 和 指 导 性 专 业 规 范 1.1 专 业 的 主 干 学 科 1.2 专 业 的 任 务

More information

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持 第 一 篇 知 己 知 彼, 百 战 不 殆 基 本 评 估 篇 第 一 章 认 识 自 我 我 就 是 一 座 金 矿 人 啊, 认 识 你 自 己! 塔 列 斯 ( 希 腊 学 者 ) 要 想 知 道 去 哪 儿, 必 须 先 知 道 你 现 在 在 哪 儿 和 你 是 谁 茜 里 娅. 德 纽 斯 ( 美 国 职 业 指 导 学 家 ) 本 章 提 要 了 解 认 识 自 我 在 职 业 生

More information

untitled

untitled 2014 6 50 2015 3 19 11 2015 3 2014/15 69 70 2014/15 2014 9 2014-15 2 900 2014 2014 5 2015 3 5 24 5 10 5 31 6 7 9 50 16 7 6 80 7 19 2014 18 9 6 11 2 12 2015 1 11 2 8 2014/15 71 2014 2014-15 $80,000 2015

More information

由社會發展趨勢探討國人睡眠品質

由社會發展趨勢探討國人睡眠品質 1 2 3 1. 2. 1. 2. 3. 4. 2. 1. 2. 4 5 6 7683 7 3-2 8 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 9 4-1 10

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

江苏省独立学院专业建设

江苏省独立学院专业建设 江 苏 省 独 立 学 院 专 业 建 设 抽 检 自 评 表 学 院 名 称 南 京 医 科 大 学 康 达 学 院 专 业 名 称 护 理 学 专 业 负 责 人 张 海 林 联 系 电 话 0518-80689682 电 子 信 箱 18961326977@189.cn 学 院 网 址 http://kdc.njmu.edu.cn/ 江 苏 省 教 育 评 估 院 印 制 二 一 五 年 七

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

2005年中期报告.doc

2005年中期报告.doc 1 2005 6 30 2004 12 31 (%) 961,101,426.65 957,822,046.17 0.34 594,325,882.86 614,243,692.30-3.24 1,242,878,110.80 1,240,108,228.85 0.22 ( ) 596,435,264.07 573,812,873.22 3.94 3.07 2.96 3.72 3.03 2.90 4.48

More information

第53期内页.cdr

第53期内页.cdr [ 目 录 ] CONTENTS 2013 年 12 月 聚 焦 十 八 届 三 中 全 会 学 习 园 地 重 要 文 件 中 国 共 产 党 第 十 八 届 中 央 委 员 会 第 三 次 全 体 会 议 公 报 1 中 共 中 央 关 于 全 面 深 化 改 革 若 干 重 大 问 题 的 决 定 5 关 于 中 共 中 央 关 于 全 面 深 化 改 革 若 干 重 大 问 题 的 决 定

More information

1406.indd

1406.indd 06 2014 年 总 第 143 期 上 海 市 孙 中 山 宋 庆 龄 文 物 管 理 委 员 会 上 海 宋 庆 龄 研 究 会 主 办 图 片 新 闻 11 月 12 日, 上 海 各 界 人 士 纪 念 孙 中 山 诞 辰 148 周 年 活 动 在 孙 中 山 故 居 举 行 ( 摄 影 : 王 云 华 ) 11 月 11 日, 上 海 孙 中 山 故 居 纪 念 馆 举 办 的 故 居

More information

上图专刊2006-3AAA.doc

上图专刊2006-3AAA.doc 特 讯 目 录 CONTENTS 2 蒋 以 任 赴 上 海 图 书 馆 作 专 题 报 告 ( 刊 文 汇 报 2006 年 2 月 25 日 记 者 陈 毅 然 ) 2 讲 座 文 化 : 城 市 新 磁 场 ( 刊 人 民 日 报 2006 年 2 月 14 日 记 者 叶 薇 ) 4 春 天, 你 好 2006 年 春 海 上 心 声 诗 歌 朗 诵 会 在 上 图 举 行 ( 金 谷 )

More information

衡山靈學創始人 超越時代的靈學明師 許衡山 老師 許衡山老師 出生於西元 1942 年 於 1980 年代啟發先天眼竅 自證其道 了悟真理 許 老師首先發現 人人皆可開發出第三眼能力與靈性能量 並藉由系統化的研究 將種種 生命現象與宇宙真理做深入淺出的剖析 並為生命的最終意義指出一條明路 現代文明昌

衡山靈學創始人 超越時代的靈學明師 許衡山 老師 許衡山老師 出生於西元 1942 年 於 1980 年代啟發先天眼竅 自證其道 了悟真理 許 老師首先發現 人人皆可開發出第三眼能力與靈性能量 並藉由系統化的研究 將種種 生命現象與宇宙真理做深入淺出的剖析 並為生命的最終意義指出一條明路 現代文明昌 如果你是個 追尋者 看完這本靈學寶典之後 相信你一定有一種感受 一種 來自內在深處的玄妙悸動 原來 原來就是這本書 原來這就是你窮極一生不斷 在追尋的靈性寶典 我是誰?我來自何方?為何我會在這裡?存在是什麼?我的生命又有何意義?這 是自古以來所有的哲學家都問過的問題 也是願意走向內在探索的所有人 都曾 經問過的問題 若你也曾有這樣的追尋與慨歎 恭喜你 你不但是個靈性的追尋者 還有著大多 數人所沒有的一顆柔軟心

More information

슬로시티번역,더빙 등 보고서(중문)_두현.hwp

슬로시티번역,더빙 등 보고서(중문)_두현.hwp 礼 山 大 兴 慢 城 讲 故 事 CONTENTS 01 礼 山 大 兴 慢 城 慢 手 织 就 的 日 常 幸 福 1 02 兄 弟 情 公 园 与 情 深 义 重 两 兄 弟 的 仿 建 故 居 走 进 情 深 义 重 两 兄 弟 的 故 事 2 03 兄 弟 情 集 市 与 传 统 大 兴 5 日 集 人 情 味 十 足 的 乡 村 集 市 3 04 碑 石 街 通 过 碑 石 展 开 的

More information

?

? Contents 2 3 01 6 7 8 9 02 10 11 03 12 13 04 14 15 05 16 17 06 18 19 07 20 21 08 22 23 09 24 25 10 26 27 28 29 11 30 31 32 12 33 12 34 13 35 36 37 14 38 39 40 41 15 42 43 16 44 45 17 46 47 18 48 49 19

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40

C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40 C C trio@seu.edu.cn C C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40 Week3 C Week5 Week5 Memory & Pointer

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

27 :OPC 45 [4] (Automation Interface Standard), (Costom Interface Standard), OPC 2,,, VB Delphi OPC, OPC C++, OPC OPC OPC, [1] 1 OPC 1.1 OPC OPC(OLE f

27 :OPC 45 [4] (Automation Interface Standard), (Costom Interface Standard), OPC 2,,, VB Delphi OPC, OPC C++, OPC OPC OPC, [1] 1 OPC 1.1 OPC OPC(OLE f 27 1 Vol.27 No.1 CEMENTED CARBIDE 2010 2 Feb.2010!"!!!!"!!!!"!" doi:10.3969/j.issn.1003-7292.2010.01.011 OPC 1 1 2 1 (1., 412008; 2., 518052), OPC, WinCC VB,,, OPC ; ;VB ;WinCC Application of OPC Technology

More information

声 明 本 人 郑 重 声 明 : 此 处 所 提 交 的 硕 士 学 位 论 文 基 于 等 级 工 鉴 定 的 远 程 考 试 系 统 客 户 端 开 发 与 实 现, 是 本 人 在 中 国 科 学 技 术 大 学 攻 读 硕 士 学 位 期 间, 在 导 师 指 导 下 进 行 的 研 究

声 明 本 人 郑 重 声 明 : 此 处 所 提 交 的 硕 士 学 位 论 文 基 于 等 级 工 鉴 定 的 远 程 考 试 系 统 客 户 端 开 发 与 实 现, 是 本 人 在 中 国 科 学 技 术 大 学 攻 读 硕 士 学 位 期 间, 在 导 师 指 导 下 进 行 的 研 究 中 国 科 学 技 术 大 学 硕 士 学 位 论 文 题 目 : 农 村 电 工 岗 位 培 训 考 核 与 鉴 定 ( 理 论 部 分 ) 的 计 算 机 远 程 考 试 系 统 ( 服 务 器 端 ) 的 开 发 与 实 现 英 文 题 目 :The Realization of Authenticating Examination System With Computer & Web for

More information

余德浩诗词

余德浩诗词 余德浩诗词 共 722 首 其中夕照新篇 436 首 2016 年 35 首 2015 年 81 首 2014 年 59 首 2013 年 64 首 2012 年 63 首 2011 年 79 首 2010 年 55 首 科苑情怀 1978-2009 年 39 首 青春足迹 1964-1977 年 241 首 自由体长诗 6 首 夕照新篇 2010-2016 年 读网络奇文随感三首 2016 年 5

More information

三維空間之機械手臂虛擬實境模擬

三維空間之機械手臂虛擬實境模擬 VRML Model of 3-D Robot Arm VRML Model of 3-D Robot Arm MATLAB VRML MATLAB Simulink i MATLAB Simulink V-Realm Build Joystick ii Abstract The major purpose of this thesis presents the procedure of VRML

More information

JAEA-Technology indb

JAEA-Technology indb NBI 1 * 2 1 (2008 3 6 ) PLC 311-0193 801-1 1 2 Update of Control System for Auxiliary Pumping and Primary Water Cooling Facilities in JT-60 NBI Katsumi KIKUCHI 1, Noboru AKINO, Noboru EBISAWA, Yoshitaka

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Microsoft PowerPoint - 104-1校務會議主席報告

Microsoft PowerPoint - 104-1校務會議主席報告 2 近 年 (2008-2015) 校 務 系 所 通 識 教 育 師 培 教 學 卓 越 環 安 體 育 性 別 交 通 檔 案 管 理 等 多 項 評 鑑 均 獲 得 績 優 之 評 價 2011 年 度 校 務 評 鑑 五 大 項 目 學 校 自 我 定 位 校 務 治 理 與 經 營 教 學 與 學 習 資 源 績 效 與 社 會 責 任 持 續 改 善 與 品 質 保 證 機 制 全 數

More information

Microsoft Word - 最新正文.doc

Microsoft Word - 最新正文.doc 9 21 1.1.1 1.1.2 1 2 2 Windows 7+Office 2010 3 4 5 6 4 7 1.1.3 5 1.1.4 1 3 2 NII 1993 3 CNNIC 2014 1 16 33 1 2013 12 6.18 5358 45.8% 2012 3.7 2 2013 12 5 19.1% 2012 74.5% 81.0% 2013 3G 2013 12 2.47 2012

More information

: : : : : ISBN / C53:H : 19.50

: : : : : ISBN / C53:H : 19.50 : : : : 2002 1 1 2002 1 1 : ISBN 7-224-06364-9 / C53:H059-53 : 19.50 50,,,,,,, ; 50,,,,,,,, 1 ,,,,,,,,,,,,,, ;,,,,,,,,, 2 ,,,, 2002 8 3 ( 1 ) ( 1 ) Deduction One Way of Deriving the Meaning of U nfamiliar

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC 4.1 Converting Assembly Language Instructions to

More information

untitled

untitled Code for design of communication engineering for fiber to the home in residential districts and residential buildings Code for design of communication engineering for fiber to the home in residential districts

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

幻灯片 1

幻灯片 1 Bring Shopper Research Into Category Solution Content What is the difference between Shopper Research and Consumer Research? Bring Shopper Research into Category Solution Case Share Page 2 Shopper Research

More information

<4D6963726F736F667420506F776572506F696E74202D20BBB2BEC9A7DEA5A92DAE7DAEB6ABDB205BACDBAE65BCD2A6A15D>

<4D6963726F736F667420506F776572506F696E74202D20BBB2BEC9A7DEA5A92DAE7DAEB6ABDB205BACDBAE65BCD2A6A15D> 輔 導 技 巧 耕 莘 醫 院 精 神 科 暨 心 理 健 康 中 心 臨 床 心 理 師 徐 振 彥 Contents 1 導 師 的 角 色 2 觀 察 及 評 估 面 向 3 輔 導 技 巧 及 範 例 分 享 三 級 預 防 概 念 一 級 學 員 心 理 健 康 促 進 導 師 的 角 色 範 圍 二 級 早 期 心 理 問 題 之 篩 選 與 輔 導 三 級 危 機 處 理 導 師 的

More information

週次

週次 週 次 每 日 好 客 1 句 ( 取 自 客 語 初 級 認 證 ) 認 證 通 過 簽 名 閩 南 語 諺 語 3 1. 華 語 : 他 外 婆 在 鄉 下 養 很 多 家 禽 家 畜 客 語 : 厥 姐 婆 ( 阿 婆 ) 在 荘 下 畜 當 ( 蓋 ) 多 頭 牲 2. 華 語 : 你 難 得 來, 中 午 殺 雞 請 你 客 語 : 你 罕 得 來, 當 晝 殺 雞 仔 請 你 3. 華

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Untitiled

Untitiled 目 立人1 2011 录 目 录 专家视点 权利与责任 班主任批评权的有效运用 齐学红 3 德育园地 立 沿着鲁迅爷爷的足迹 主题队活动案例 郑海娟 4 播下一颗美丽的种子 沿着鲁迅爷爷的足迹 中队活动反思 郑海娟 5 赠人玫瑰 手有余香 关于培养小学生服务意识的一些尝试和思考 孙 勤 6 人 教海纵横 2011 年第 1 期 总第 9 期 主办单位 绍兴市鲁迅小学教育集团 顾 问 编委会主任 编

More information

目 录 CONTENTS 大学化学教学视角浅谈 马晓玲 46 如何构建高效的语文主课堂 高建红 90 浅谈新课标下小学英语教学模式 赵沪君 47 如何打造 动与静 相结合的数学课堂 何张兴 91 浅析如何提高中学英语阅读质量 李彤彤 48 谈高中语文课堂如何激发学生的学习兴趣 陈俊林 92 刍议小学

目 录 CONTENTS 大学化学教学视角浅谈 马晓玲 46 如何构建高效的语文主课堂 高建红 90 浅谈新课标下小学英语教学模式 赵沪君 47 如何打造 动与静 相结合的数学课堂 何张兴 91 浅析如何提高中学英语阅读质量 李彤彤 48 谈高中语文课堂如何激发学生的学习兴趣 陈俊林 92 刍议小学 目 录 CONTENTS 教育创新 培养学生自主式学习实施策略 赵若冰 1 中国传统文化在当代中国美术创作中的运用 米 勋 2 浅谈情感教育在初中物理教学中的应用 邵加民 3 音乐教育在高等职业教育中的促进作用 陈 琳 张馨月 4 如何让学生学 好 龙森华 5 浅谈农村的美术教育 邓玉娟 5 适应素质教育潮流 从小培养学生信息能力 景红莉 6 激发学习兴趣 培养数学思维 唐发志 7 高中英语教学中如何培养学生的创新能力

More information

樹人醫護管理專科學校九十一學年度第一學期第一次

樹人醫護管理專科學校九十一學年度第一學期第一次 高 雄 市 立 蚵 寮 國 民 中 學 104 學 年 度 第 1 學 期 期 末 校 務 會 議 會 議 紀 錄 時 間 :105 年 1 月 20 日 三 下 午 16:00 地 點 : 本 校 會 議 室 主 席 : 鄭 校 長 元 順 紀 錄 : 陳 鴻 懋 組 長 出 席 : 如 簽 到 簿 壹 主 席 報 告 : 一 共 同 部 分 1. 下 學 期 行 事 曆, 請 各 處 室 共 同

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

SuperMap 系列产品介绍

SuperMap 系列产品介绍 wuzhihong@scu.edu.cn 3 / 1 / 16 / John M. Yarbrough: Digital Logic Applications and Design + + 30% 70% 1 CHAPTER 1 Digital Concepts and Number Systems 1.1 Digital and Analog: Basic Concepts P1 1.1 1.1

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt 8088/8086 MICROPROCSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS The MOVE The move (MOV) instruction is used to transfer a byte or a word of data from a source operand to a destination operand

More information

TC35短信发送程序设计

TC35短信发送程序设计 http://www.dragonsoft.net.cn/down/project/tc35_sms.rar TC35 AT /down/book/tc35_at.pdf TC35/TC35i GSM Modem TC35 GSM POS COM SIM DOWN COM E, vbcr AT VB6.0 1)C# http://www.yesky.com/softchannel/72342380468109312/20040523/1800310.shtml,

More information

PCA+LDA 14 1 PEN mL mL mL 16 DJX-AB DJ X AB DJ2 -YS % PEN

PCA+LDA 14 1 PEN mL mL mL 16 DJX-AB DJ X AB DJ2 -YS % PEN 21 11 2011 11 COMPUTER TECHNOLOGY AND DEVELOPMENT Vol. 21 No. 11 Nov. 2011 510006 PEN3 5 PCA + PCA+LDA 5 5 100% TP301 A 1673-629X 2011 11-0177-05 Application of Electronic Nose in Discrimination of Different

More information

标题

标题 2016 年 5 月 西 南 民 族 大 学 学 报 ( 自 然 科 学 版 ) 第 423 卷 期 第 3 期 Journal 谢 of 琪 Southwest ꎬ 等 :C++ University 程 序 设 计 for 实 Nationalities( 验 教 学 微 课 Natural 的 设 计 Science 与 实 现 Edition) May 2016 Vol 42 No 3 doi:10

More information

LaDefense Arch Petronas Towers 2009 CCTV MOMA Newmark Hahn Liu 8 Heredia - Zavoni Barranco 9 Heredia - Zavoni Leyva

LaDefense Arch Petronas Towers 2009 CCTV MOMA Newmark Hahn Liu 8 Heredia - Zavoni Barranco 9 Heredia - Zavoni Leyva 39 6 2011 12 Journal of Fuzhou University Natural Science Edition Vol 39 No 6 Dec 2011 DOI CNKI 35-1117 /N 20111220 0901 002 1000-2243 2011 06-0923 - 07 350108 105 m 14 69% TU311 3 A Seismic analysis of

More information

100 100-310 -

100 100-310 - 100 100 MUST KNOW WORLD FAMOUS PAINTINGS IN ONE S LIFE 100 100-310 - Preface Contents No.01 No.02 No.03 No.04 No.05 No.06 No.07 No.08 No.09 No.10 No.11 No.12 No.13 No.14 No.15 No.16 No.17 No.18 No.19

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

交流活动

交流活动 信 息 科 学 技 术 学 院 简 报 School of Electronics Engineering and Computer Science 二 零 一 六 年 第 二 期 ( 总 第 102 期 ) 北 京 大 学 信 息 科 学 技 术 学 院 二 零 一 六 年 三 月 三 十 一 日 党 政 : 3 月 7 日, 北 京 大 学 校 长 助 理 教 育 基 金 会 秘 书 长 邓 娅

More information

本期目录

本期目录 2014 第 03 期 ( 总 第 07 期 ) 上 海 工 程 技 术 大 学 研 究 生 部 ( 处 ) 研 究 生 会 2014 年 6 月 2014 年 7 月 本 期 目 录 工 作 动 态 研 究 生 参 与 端 午 节 爱 国 教 育 活 动 学 生 工 作 部 ( 处 ) 和 研 究 生 处 联 合 召 开 考 研 考 博 交 流 座 谈 会 研 究 生 处 组 织 召 开 市 研

More information

75% 2 2

75% 2 2 1 1 500 30 75% 2 2 12 3 3 4 4 10 15 5 5 30 6 6 7 7 8 8 20 9 9 10 10 11 11 12 12 13 13 60% 14 14 15 15 16 16 2 8 17 17 5 30 5 55 l l l l 18 18 19 19 20 20 10 30 21 21 5 8 15 100 22 22 23 23 20 24 24 25

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC General instruction format for machine code 611 37100 微處理機原理與應用 Lecture 04-4 MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE

More information

1 引言

1 引言 P P 第 40 卷 Vol.40 第 7 期 No.7 计 算 机 工 程 Computer Engineering 014 年 7 月 July 014 开 发 研 究 与 工 程 应 用 文 章 编 号 :1000-348(014)07-081-05 文 献 标 识 码 :A 中 图 分 类 号 :TP391.41 摘 基 于 图 像 识 别 的 震 象 云 地 震 预 测 方 法 谢 庭,

More information

主題導覽 ᎶΚࠤໂ ᢀӀ 台南公車輕旅行 為了落實低碳城市的目標 推動低碳運輸 市府克服 了種種困難 以捷運為概念 推動6條幹線公車 74 條 支線公車的綿密運輸網路 構建完善的捷運化公共運輸 活動預告 CONTENTS P.25 詳情請見 目錄 4 2014.05 第 19 期 1 文學 音樂 展

主題導覽 ᎶΚࠤໂ ᢀӀ 台南公車輕旅行 為了落實低碳城市的目標 推動低碳運輸 市府克服 了種種困難 以捷運為概念 推動6條幹線公車 74 條 支線公車的綿密運輸網路 構建完善的捷運化公共運輸 活動預告 CONTENTS P.25 詳情請見 目錄 4 2014.05 第 19 期 1 文學 音樂 展 主題導覽 ᎶΚࠤໂ ᢀӀ 台南公車輕旅行 為了落實低碳城市的目標 推動低碳運輸 市府克服 了種種困難 以捷運為概念 推動6條幹線公車 74 條 支線公車的綿密運輸網路 構建完善的捷運化公共運輸 活動預告 CONTENTS P.25 詳情請見 目錄 4 2014.05 第 19 期 1 文學 音樂 展演的藝術饗宴 雙月刊 的行列 這種新的公共運輸模式 同時也帶給旅人一個嶄新的移 暢行全市 37 個行政區及各處觀光景點

More information