Microsoft Word - Lab8_1213.docx

Similar documents
Microsoft Word - SOC_Encounter_Flow_new4.docx

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial

混訊設計流程_04.PDF

enews174_2

Layout Skill_and_Varification

Microsoft PowerPoint - IC.ppt

ebook111-4

Microsoft PowerPoint - 遊戲企劃

Microsoft Word - 3D手册2.doc

WinMDI 28

enews172_1

Text 文字输入功能 , 使用者可自行定义文字 高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。

epub83-1

<4D F736F F D20A8CFA952A6A12DAED1ADB1B8EAAEC62E646F63>

(Phase Locked Loops, PLL PLL (Chipset PLL GHz 6M Hz 96M USB2.0 USB2.1 6M Hz [1][2]

圖 1. 手 工 搭 棚 [ 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

AutoCAD 用戶如何使用 ArchiCAD

2_dvdr3380_97_CT_21221b.indd

12 Differential Low-Power 6x6 12 bit multiply 1

Abstract arm linux tool-chain root NET-Start! 2

标题,黑体18号

晶体结构立体模型建构软件-Diamond的使用

月光迴旋曲

关于规范区委、区委办公室发文

Microsoft Word - ??山

Microsoft Word - 助理人員教育訓練-會計室.docx

目 錄 一 研 討 會 活 動 主 題... 1 二 參 訪 名 單 及 分 房 資 訊... 2 三 參 訪 行 程 表... 3 四 會 議 地 點 及 歡 送 晚 宴 地 點... 6 五 研 討 會 議 程 表... 7 六 接 待 人 員 名 單... 8 七 參 訪 景 點 簡 介...

使用手冊

Microsoft Word - 三峽鎮衛生所_3_-張家宸.李永繁.doc

Microsoft Word - 武漢大學交流營心得_黃莉云_.doc

untitled

S325A 2

封面-12

第一章

影視後製全攻略 Premiere Pro After Effects Encore 自序 Adobe Premiere Pro After Effects Encore 2008 Adobe CS Adobe CS5 Adobe CS4 Premiere Pro After Effect

Microsoft Word - VLSI Design Lab2_v1.doc

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z








1

EDA cloud Cell-base Flow 使用說明 在 EDA Cloud 執行 Cell-base Flow 其實與傳統流程相似, 但仍有一些操作 細項略微不同, 因此本文將針對這部分以 Lab 範例型式作解說 本範例說明, 是以 TSMC90UTM 製程為例, 設計內容包含 Standa

基于UML建模的管理管理信息系统项目案例导航——VB篇

RunPC2_.doc

untitled

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Paratune用户手册

今天 年春季号 总 92 期

*

( ) / / / / / / /

(Microsoft Word - 8\244T\244\362\277\337\272]\244W\265L\246W.doc)

Microsoft Word - 專家本色 doc


但, 你 应 该 听 过 我 们 走 在 大 路 上 这 首 歌, 或 许 还 知 道 革 命 人 永 远 是 年 轻 那 支 歌 ; 并 且, 几 乎 可 以 肯 定, 你 在 戴 红 领 巾 的 那 阵, 必 然 唱 过 牛 儿 还 在 山 坡 吃 草, 放 牛 的 却 不 知 道 哪 儿 去

2 临 终 助 念 答 问 序 临 终 关 怀, 由 佛 门 净 宗 古 来 祖 师 大 德 提 倡 助 念 往 生, 现 今 已 渐 为 社 会 大 众 所 重 视, 在 台 湾, 台 大 长 庚 等 各 大 医 院, 也 都 设 有 助 念 室 ; 大 陆 上 许 多 道 场, 也 有 专 为

校园之星

Microsoft Word - 澎湖田調報告-宏達組9804.doc

<4D F736F F F696E74202D FA8BEA861B8EAB7BDBEE3A658BB50C0B3A5CE28B773A6CBA5AB29>


之 原 則 及 國 防 部 訂 頒 國 軍 列 管 國 有 不 動 產 提 供 非 軍 方 單 位 使 用 處 理 原 則 規 定 不 符, 仍 應 以 出 租 方 式 辦 理 惟 可 就 偏 遠 地 區 提 供 官 兵 金 融 水 電 服 務 使 用 部 分, 研 議 降 低 租 金 標 準, 報

chineseall

釋禪波羅蜜次第法門

证券代码: 证券简称:锦江股份 公告编号:【】

1700 装 卸 搬 运 7645 装 卸 搬 运 服 务 2100 建 筑 7410 工 程 服 务 11% 装 卸 搬 运 服 务, 是 指 使 用 装 卸 搬 运 工 具 或 者 人 力 畜 力 将 货 物 在 运 输 工 具 之 间 装 卸 现 场 之 间 或 者 运 输 工 具 与 装 卸

前 言 教 育 无 小 事, 它 成 就 着 学 生 的 未 来 作 为 教 师, 他 们 无 时 无 刻 不 在 关 注 着 学 生 的 成 长 学 生 的 未 来 学 生 就 像 一 朵 含 苞 待 放 的 花 朵, 需 要 老 师 们 的 细 心 呵 护, 给 学 生 需 要 的 东 西, 而

《盗墓笔记》 南派三叔/著

平 凡 足 迹 李 本 川 作 者 为 中 国 科 学 院 海 洋 研 究 所 研 究 员,1935 年 生, 山 东 荣 成 人 我 今 年 63 岁 了 大 前 年 丈 夫 和 儿 子 在 一 个 月 内 先 后 离 开 了 人 世, 女 儿 又 已 出 嫁, 现 在 是 孑 然 一 身 我 是

<CFFBB7D1D5DFD0D0CEAAD1A72E6D7073>

独立学院建设与发展


7 南 水 北 调 东 线 第 一 期 工 程 三 阳 河 潼 河 宝 应 站 工 程 设 计 江 苏 省 水 利 勘 测 设 计 研 究 院 有 限 公 陆 小 伟, 顾 美 娟, 张 仁 田, 王 钧, 焦 建 华, 张 艺, 朱 正 伟, 杨 俊 敬, 徐 文 俊, 张 娟, 钱 祖 宾, 汤


菩提道次第廣論

路 上 沒 說 話, 車 子 被 爸 離 去 後 開 走 了, 沒 什 麼 變, 除 了 一 股 淡 淡 的 香 味, 我 不 太 習 慣, 像 空 氣 中 的 粉 塵, 左 飄 右 飄, 光 中 飛 舞 我 沒 提, 看 車 窗 外, 外 面 不 太 有 趣, 我 只 是 沒 事 幹, 我 們 本

繁 華 國 小 101 學 年 母 親 節 感 恩 惜 福 - 跳 蚤 市 場 暨 科 學 闖 關 遊 戲 親 子 活 動 實 施 計 畫 一 依 據 : 本 校 101 學 年 度 校 務 計 畫 及 行 事 曆 二 目 的 : 1. 培 養 學 生 感 恩 惜 物 知 福 惜 福 的 節 儉 觀

台 中 市 北 屯 區 東 山 里 橫 坑 9 林 志 明 巷 89-5 菜 豆 菜 大 漿 果 菜 豆 菜 大 漿 果 小 漿 果 核 果 柑 桔 無 陳 錦 生 新 竹 市 香 山 區


育儿小故事(四)

PCB设计问题集

<4D F736F F D B0EAA5C1A470BEC7A4CEB0EAA5C1A4A4BEC7B8C9B1CFB1D0BEC7B9EAAC49A4E8AED7>

1 1

WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S3125A Ct-2

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

ebook70-14

untitled

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

4 25,887,432 24,017,720 (22,276,482) (20,881,677) 3,610,950 3,136, ,415,375 2,280, , ,517 (4,973,881) (4,558,202) (646,824) (560,1

untitled

2 黑 色 皇 后 兵 向 前 移 動 兩 格 3 白 色 主 教 兵 4 黑 色 皇 后 對 角 移 動 到 對 吃 掉 白 色 國 王 的 位 置 在 這 個 章 節 中 你 會 學 到 1 打 開 設 定 關 鍵 (Set Key) 模 式 2 使 用 在 檢 視 軌 跡 中 的 可 設 定

Panasonic ( ) : : Microsoft Windows / Pentium / Intel : ( PCC ) PCC Panasonic Communications Co., Ltd

The golden pins of the PCI card can be oxidized after months or years

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Transcription:

Lab 8: DRC and LVS 1 These Labs are in the CIC standard flow 2 在今天的 Lab 中, 我們會練習到 2.1 Stream In GDS with Virtuoso 2.2 Design Rule Check (DRC) 2.3 Layout Versus Schematic (LVS) 3 先複製並解壓縮 Calibre Lab 的檔案 : tar xvzf ~cvsd/cur/calibre/calibrelab.tar.gz 4 同學請將自己於前面實驗完成的 CHIP.v 和 CHIP.gds 替換到 design_data 目錄裡, 繼續完成下面的驗證 但如果前面實驗還沒有完成, 或是自己的檔案有驗證錯誤, 可以使用我們提供的 CHIP.v 和 CHIP.gds 檔案就好

Lab 8 1: Virtuoso 1 目的 : 使用 Virtuoso Layout Editor 檢查自己的設計, 熟悉 Virtuoso Layout Editor 的使用者介面 2 進入 Lab8 1 % cd Lab8 1 3 檢查目關的檔案是否齊全 3.1 GDS File:CHIP.gds ( 前面實驗產生, 或由 Calibre Lab 路徑複製 ) 3.2 Virtuoso Technology File:0.18um_Virtuoso4.4.tf 和 display.drf 3.3 Initial File:.cdsinit 3.4 上述檔案中的 GDS File 是由 SOCEncounter 產生的,Virtuoso Technology File 會隨著所使用的製程不同而改變,Initial File 則是 Virtuoso 的初始環境設定檔 3.5 檢查.cdsinit 的內容 % vi.cdsinit 裡面 skill file 的路徑會隨著使用環境不同而改變, 如果要在 Virtuoso 執行 Calibre 的話要注意這點,CAD 工作站底下正確路徑應為 : /usr/mentor/calibre/cur/shared/pkgs/icv/tools/queryskl 4 執行 icfb % icfb & 5 Stream In Design 5.1 在 icfb 視窗裡, 執行 File >Import >Stream 會跳出一個新視窗, 在 Input File 欄位輸入 CHIP.gds,Top Cell Name 欄位輸入 CHIP,Library Name 欄位輸入 CHIP,ASCII Technology File Name 欄位輸入 0.18um_Virtuoso4.4.tf, 最後選 OK, 成功的話會出現如下訊息表示沒有 Error 發生

5.2 在 icfb 視窗裡, 執行 Tools >Library Manager 會跳出 Library Manager 視窗, 先執行 View >Refresh 更新欄位內容, 然後在 Library 欄位選擇 CHIP, Cell 欄位選擇 CHIP,View 欄位選擇 layout, 並在其上面按右鍵, 選 Open 來打開 CHIP/CHIP/layout 這個 cell view, 打開後按下 shift+f 展開所有 cell, 如下圖 6 熟悉 Virtuoso Layout Editor 的使用者介面 6.1 這時候操作的視窗主要有兩個, 一個是顯示 layout 的 Virtuoso Layout Editor, 另外一個是長條型的 LSW 6.2 LSW 主要用來控制各 layer 的顯示與否, 例如 是指 是否顯示 Metal1 的 layer, 在上面按滑鼠中鍵圖示會變成, 回去 Layout Editor 那邊按 ctrl+r 把畫面 redraw, Metal1 layer 便沒有再顯示在畫面中 6.3 Virtuoso Layout Editor 是用來對 layout 進行一些操作, 所以用的功能如 視窗左邊的圖案所示, 例如改變 Metal 的位置, 延伸或縮短 Metal

長度等, 主要是用來解決 DRC/LVS Error 用 下面的快捷鍵可以幫助使用者觀察 layout Zoom In ctrl + z Zoom Out shift + z Fit f Display All Hierarchy shift + f Display Top Hierarchy ctrl + f Edit Instance Property q 7 檢查自己的設計 7.1 在 Display All Hierarchy 時, 在四個 corner pad 的外側會看到兩條 L 的形狀, 可以用來確定 corner 擺放的方向有沒有問題 7.2 在之前用 SOCEncounter 進行 P&R 時不用為 memory 加入 block ring, 是因為它本身就已經有包含, 在這邊只有 Zoom In 到 memory 的位置便可以看到 7.3 到之前加 IOVDD 和 IOVSS 的位置把 label 找出來, 按 q 可以檢查 label 所在的 metal layer 是否跟之前設定的一樣 8 Stream Out 8.1 在 icfb 視窗執行 File >Export >Stream, 在 Stream Out Form 中的 Library Name 欄位填入 CHIP,Top Cell Name 欄位填入 CHIP, 在 View Name 欄位填入 layout,output File 欄位填入 CHIP.gds2, 最後按 OK 開始進行 stream out 的動作, 成功的話會出現如下訊息表示沒有 Error 發生 9 關閉 icfb 9.1 在 icfb 視窗選擇 File >Exit, 按 YES 即可離開 10 Lab8 1 結束 Check: 完成 Virtuso Layout Editor 的開啟並 output stream CHIP.gds2

Lab 8 2(a): Design Rule Check 1 Lab 目的 : 學習如何進行 Design Rule Check (DRC) 2 進入 Lab8 2 % cd Lab8 2 3 複製相關的檔案 3.1 GDS 檔案 :CHIP.gds ( 前面實驗產生, 或由 Calibre Lab 路徑複製 ) 4 進入 DRC 目錄 4.1 % cd DRC 5 編輯 Calibre DRC runset 檔案 5.1 對 CLM18_LM16_6M.28a_m.drc 修改以下幾個項目 ( 約在第 618 行 ), 如紅字部份所示 : LAYOUT PATH../../design_data/CHIP.gds LAYOUT PRIMARY CHIP 5.2 存檔並離開 6 執行 Calibre DRC 6.1 % source /usr/mentor/cic/calibre.cshrc 6.2 % calibre drc CLM18_LM16_6M.28a_m.drc 7 觀看 DRC 結果 7.1 打開檔案 DRC.rep, 檢查 RULECHECK RESULT STATISTIC 中 Result Count 不等於 0 的部份即為 DRC Error 7.2 % grep ^RULECHECK DRC.rep grep v 0$ 7.3 如果用預設的 GDS 檔應該會看到幾個 DRC Error, 像 UTM20KR.1.,Mx.R.1, PO.R.3 等, 其中 Mx.R.1 指的是 metal density 不夠, 這是因為設計最外圈的 bounding pad 佔的比例太大, 而 dummy metal 沒有加到 core 外面的區域, 所以整體 density 不夠 其餘錯誤指的是 poly 和 oxide 的 density 不足, 這是因為我們所使用的 standard cell layout 並不是完整的, 裡面沒有 poly 和 oxide, 所以 density 不夠是正常的 這幾個 DRC Error 都不用理會 8 Lab8 2(a) 結束

Lab 8 2(b): Layout vs. Schematic 1 Lab 目的 : 學習如何進行 Layout Versus Schematic (LVS) 2 進入 Lab8 2 % cd Lab8 2 3 複製相關的檔案 3.1 GDS 檔案 :CHIP.gds ( 前面實驗產生, 或由 Calibre Lab 路徑複製 ) 3.2 Netlist 檔案 :CHIP.v ( 前面實驗產生, 或由 Calibre Lab 路徑複製 ) 4 進入 LVS 目錄 4.1 % cd LVS 5 編輯 Calibre LVS runset 檔案 5.1 對 LVS_Calibre_0.18um_MIX_1p6m_1.8v+3.3v.15d.lvs 修改以下幾個項目 ( 約在第 153 行 ), 如紅字部份所示 : LAYOUT PRIMARY CHIP LAYOUT PATH../../design_data/CHIP.gds LAYOUT CASE YES SOURCE PRIMARY CHIP SOURCE PATH source.spi SOURCE CASE YES 其中加入的兩個 CASE YES 表示 layout netlist 和 source netlist 都是 case sensitivity 5.2 最後還要把 LVS BOX 中的 power pad,corner pad,bonding pad 都註解掉, 如 : //LVS BOX FILL1 //LVS BOX FILL16 //LVS BOX FILL2 //LVS BOX FILL32 //LVS BOX FILL4 //LVS BOX FILL64 //LVS BOX FILL8 //LVS BOX PADIZ40 //LVS BOX PADOZ40 //LVS BOX PCORNERDG //LVS BOX PCORNERDG_L //LVS BOX PFEED01 //LVS BOX PFEED10 //LVS BOX PFEED1

//LVS BOX PFEED20 //LVS BOX PFEED2 //LVS BOX PFEED22 //LVS BOX PFEED35 //LVS BOX PFEED50 //LVS BOX PFEED5 //LVS BOX PVDD1DGZ //LVS BOX PVDD2DGZ //LVS BOX PVSS1DGZ //LVS BOX PVSS2DGZ //LVS BOX PVSS3DGZ 原因是因為在 verilog netlist 中這些 cell 都不存在, 可是 layout 有, 所以 希望不要把這些 cell 當成是黑盒子 5.3 存檔並離開 6 6.1 編輯 tsmc18_lvs.v 檔案 6.2 加入以下對於 antenna 的描述 : module ANTENNA ( A ); input A ; endmodule 7 編輯 tsmc18_lvs.spi 檔案 7.1 將 1776 行改成如下 :.SUBCKT ANTENNA A VDD VSS 8 檢查 LVS 的目錄, 我們發現目前還沒有 source.spi, 因此必須先把 CHIP.v 轉換 成 SPICE 格式輸入 : 8.1 % source /usr/mentor/cic/calibre.cshrc 8.2 % v2lvs v../../design_data/chip.v l tsmc18_lvs.v o source.spi s tsmc18_lvs.spi s1 VDD s0 VSS 8.3 ( 備註 ) 如果未來要加入其他檔案 ( 例如 Memory RF2SH64x16.v) 則打成 : % v2lvs v../../design_data/chip.v l tsmc18_lvs.v l RF2SH64x16.v o source.spi s tsmc18_lvs.spi s RF2SH64x16.spi s1 VDD s0 VSS 8.4 成功的話會產生 source.spi 9 執行 Calibre LVS 9.1 % calibre lvs spice layout.spi hier auto LVS_Calibre_0.18um_MIX_1p6m_1.8v+3.3v.15d ( 同一行 ) 10 觀看 LVS 結果 10.1 打開檔案 lvs.rep, 檢查 OVERALL COMPARISON RESULT 的部份是不是出 現笑臉與大勾, 如果是的話便表示設計有通過 LVS 了

11 Lab8 2(b) 結束 Check: 完成 DRC, 截取 count 不等於 0 的部份 ; 完成 LVS 並出現笑臉