國立台灣科技大學電子工程系 實務專題 總報告 低電壓低溫度低製程變異之電流源設計 姓名 : 學號 : 蔡政勳 B 指導老師 : 陳伯奇 1

Size: px
Start display at page:

Download "國立台灣科技大學電子工程系 實務專題 總報告 低電壓低溫度低製程變異之電流源設計 姓名 : 學號 : 蔡政勳 B 指導老師 : 陳伯奇 1"

Transcription

1 國立台灣科技大學電子工程系 實務專題 總報告 低電壓低溫度低製程變異之電流源設計 姓名 : 學號 : 蔡政勳 B 指導老師 : 陳伯奇 1

2 一 摘要 : 現今社會中, 科技的蓬勃發展, 改善了許多人的生活習慣以及品質, 這些的改變都與晶片的發展有極大的關聯, 而現在的晶片又以小面積 低功耗為主要趨勢, 故本專題將以這個方向為目標, 並熟悉 IC 設計的流程, 包含了文章選讀 電路分析及設計 電路模擬及佈局 一個理想的電流源, 其對環境的靈敏度會為零, 如溫度 電壓的改變, 不能影響到輸出電流 ; 但在實際情況, 這些變數都是會影響到我們的輸出電流, 故本專題以 Self-biased Current Reference 為核心架構, 將預期能完成一個對電壓 溫度及製程變異較低的電流源 本專題所選定的題目為 低製程低電壓低溫度變異之電流源設計, 利用電晶體弱反轉特性, 降低整體的偏壓電流以及消耗功率, 本電路提供之電流為 12.29nA 左右 最低使用電壓源可低至 0.8V 電流對電壓源之靈敏度皆在 6% 以下 電流對溫度之靈敏度皆在 0.25% 以下 電流源是目前晶片中一個相當基本的電路, 在電流源的眾多應用電路中, 為了要使得各電路能夠更加微小, 且耗電量不高, 故希望在設計時能夠佔用面積小且消耗功率極低 二 研究動機 : 電流源是 IC 設計裡的一個常見電路, 其可應用於數位至類比轉換器 (Digital to Analog Converter) 類比至數位轉換器(Analog to Digital Converter) 振盪器 (Oscillator) 運算放大器(Operational Amplifier) 以及鎖相迴路 (Phase Lock Loop) 等電路中, 用途相當廣泛 在現代科技裡, 消費性電子產品因為要能續航力持久 省電, 故皆需要使用低消耗功率的大型積體電路, 如 :RFID 植入性醫療裝置 智慧型感測網路等 故在這樣的科技環境中, 發展出一個極穩定, 且不受溫度 電壓等環境因素影響的電流源, 將是本專題的研究重點 2

3 三 設計流程 : 決定電路規格 選擇電路架構 電路前模擬 電路是否達到預期規格 是 電路佈局 否 電路驗證 ( D R C L V S ) 萃取電路寄生效應 否 後模擬是否依然達到規格 是 結果討論及改進 1. 決定電路規格 : 本 current reference 是要應用於低功耗 小電流的電路中, 所以功耗目標設定在 0.5uW 以下 2. 使用 TSMC 0.18um 的製程, 所以最大的 VDD 值為 1.8V, 但一般應用電路中, 一個 current reference 所分配到的電壓應要越小越好, 其餘的主電路才能有更大的擺幅空間以能設計, 故將 VDD 最小值的目標設定在 1.2V 以下 3. 對電壓以及溫度的敏感度必須極低, 所以將電壓變異設定在 7% 以下, 而溫度變異則是將規格訂在 0.5% 以下 4. 考慮電路佈局, 為了使面積縮小, 盡量縮短走線, 降低走線的寄生效應 5. 進行 DRC LVS PEX 及後模擬驗證效能 3

4 四 基本理論與模式建立 : 1. 理想電流源 一個理想的電流源, 是能夠提供穩定的電流, 如圖 1. 所示, 其電流 I 不 受環境 ( 包含溫度 雜訊 ) 所改變, 也不受電路限制, 如電壓 外部電路的輸 入阻抗而影響到電流的輸出 若將電壓變化量影響到電流的程度, 量化成一個參數, 根據歐姆定律, 則可得到 R =, 在理想的情況下, I = 0, 故最理想的電流源, 或是 一個優良 ( 非理想的電流源 ), 其輸出阻抗必須越大越好, 其所等效的意義即 是電壓造成電流的變動量越小越好 圖 1. 理想電流源 圖 2. 利用 MOS 電晶體製作電流源 2. 以電晶體製作電流源 在積體電路中, 若要製造一個偏壓電路, 則是使用基本 簡單的元件 - 電晶體來製作, 而本專題所選用的製程是 TSMC0.18- μm, 如圖 2. 所示, 若 是利用 MOS 元件來製作電流源, 則必須給予一偏壓電壓 V, 並利用 MOS 電晶體電流公式 I = μ C [(V V )V V ] (1) 若忽略極小項 V, 則可推得 MOS 電晶體輸出電阻 : R = = μ ( ) (2) 由上 (2) 式中可知, 若是要讓電壓變異小, 則輸出阻抗要大, 在 MOS 電 晶體中, 則必須要擁有較大的通道長度 (L), 故本專題將以此為基礎研究方 向, 製造出低電壓變異的電流源 4

5 五 研究與討論 1. 本次專題電路架構 圖 3. 本次專題核心電路 圖 4. 本次專題偏壓電路 本專題所選定的架構是以 Self-biased Current Reference 為核心電路, 如圖 3 所示, 以這種架構作為整體的核心, 利用電流互相鎖定的方式, 來穩定輸出電流, 並利用圖 3 中的 M5 M2 來吸收電源雜訊, 當電源受到外在環境影響而導致電壓變化時, 可由此兩顆 MOS 電晶體來承受電壓變化, 降低對輸出電流的影響 而在 M6 以及 M5 的兩端必須供給偏壓電壓才能讓核心電路工作, 故使用圖 4. 的疊接電流鏡來偏壓, 雖電路為對稱架構, 但若是兩端所供應之偏壓電壓相同, 則整個電路將鎖定而無法工作, 故 V 與 V 兩端之電壓並不相同, 目的是為了在開機之後能夠讓電路工作, 故在 Self-biased Current Reference 左右之偏壓電路雖為相同的疊接電流鏡, 但其中的通道長度以及寬度在設計上是不同的 ; 並且, 此專題是以低功率以及低偏壓電流輸出為研究方向, 故在通道長度上也是以較大的 L 值來設計, 這樣不但可降低輸出電流, 也可以達到低電壓變異的效果 5

6 2. 完整電路圖 圖 5. 完整電路圖 2.1 電路說明圖 5 為本專題的完整電路圖, 結合了圖 3 之 Self-biased Current Reference 來對抗電源雜訊 溫度變化以及利用圖 4 的疊接電流鏡來製造核心電路上的偏壓, 來達到整體電流穩定的功能 為了讓核心電路在開機之後能夠正常工作, 所以 M9 M10 所組成之疊接電流鏡, 其電晶體 Size 與 M0 M1 所組成之疊接電流鏡是不相同的, 最後再由 M4 將電流複製 輸出 2.2 設計方法為了要達到小電流輸出以及低功耗的目的, 將 M5 M6 這兩顆電晶體操作在 Weak inversion region( 弱反轉區 弱飽和區 ), 由於 MOS 電晶體在弱反轉區會吸引微小的通道使 MOS 電晶體有電流的流動, 利用這樣的特性, 並分配電壓使得 M5 的 D S 極兩端電壓大於 Over drive voltage( 過驅電壓 ) 的 0.2V, 即可達到弱反轉的特性 操作在此區域的電晶體, 由於是應用在需要小電流的 current reference, 故整體電流極小, 且對外在 ( 溫度 雜訊 ) 的敏感度皆大幅下降 6

7 六 電路模擬 (Post-sim) 與驗證 1. 溫度變化 (-40 ~120 )Corner 變異 (TT SS FF) 模擬 (V DD =1.8V) 溫度 to I D4 之靈敏度 0.113% 溫度 to I D4 之靈敏度 0.233% 溫度 to I D4 之靈敏度 0.078% 2. 溫度變化 (-40 ~120 )Corner 變異 (TT SS FF) 模擬 (V DD =0.8V) 溫度 to I D4 之靈敏度 0.073% 溫度 to I D4 之靈敏度 0.047% 溫度 to I D4 之靈敏度 0.095% 3. 溫度變化 (-40 ~120 )Corner 變異 (TT SS FF) 模擬 (V DD =3V) 溫度 to I D4 之靈敏度 0.125% 溫度 to I D4 之靈敏度 0.237% 溫度 to I D4 之靈敏度 0.070% 7

8 4. 電壓變化 (0V~3V)Corner 變異 (TT SS FF) 模擬 ) V DD to I D4 之靈敏度 4.8% V DD to I D4 之靈敏度 5.04% V DD to I D4 之靈敏度 5.15% 5. 電壓變化 (0V~3V)Corner 變異 (TT SS FF) 模擬 (@0 ) V DD to I D4 之靈敏度 5.55% V DD to I D4 之靈敏度 5.82% V DD to I D4 之靈敏度 5.44% 6. 電壓變化 (0V~3V)Corner 變異 (TT SS FF) 模擬 (@120 ) V DD to I D4 之靈敏度 3.95% V DD to I D4 之靈敏度 5.83% V DD to I D4 之靈敏度 4.12% 8

9 七 電路佈局圖 (Layout) 八 結論與電路規格列表 表 1. 電路規格列表 Parameter Spec. Output Current 12.29nA Power supply minimum 0.8V Power Consumption 85.09nW Sensitivity of Temp. to I D % Sensitivity of V DD to I D4 4.8% Chip Size: W(um) L(um)= um 2 結論 : 1. 本專題電路提供之電流為 12.29nA 左右 2. 最低使用電壓源可低至 0.8V 3. 具有低電流低功耗之特色 4. 電流對電壓源之靈敏度皆在 6% 以下 5. 電流對溫度之靈敏度皆在 0.25% 以下 6. 未來可將此電路應用於 ADC OPA 中 9

10 九 參考資料 1. Books [1] B. Razavi, Design of Analog CMOS Integrated Circuits, McGraw-Hill, pp (2001). 2. Journals [1] E. M. Camacho-Galeano, C. Galup-Montoro, and M. C. Schneider, A 2-nW 1.1-V Self-Biased Current Reference in CMOS Technology, IEEE Transactions on Circuits and Systems-II: Express Briefs, VOL. 52, NO. 2 (2005). [2] K. Ueno,T. Hirose,T. Asai and Y. Amemiya, A 1-μW 600-ppm/ Current Reference Circuit Consisting of Subthreshold CMOS Circuits, IEEE Transactions on Circuits and Systems-II: Express Briefs, VOL. 57, NO. 9 (2010) [3] H. J. Oguey and D. Aebischer, CMOS current reference without resistance, IEEE J. Solid-State Circuits, vol. SC-32, no. 7, pp (1997) [4] C. Galup-Montoro, M. C. Schneider, and I. J. B. Loss, Series-parallel association of FETs for high gain and high frequency applications, IEEE J. Solid-State Circuits, vol. 29, no. 9 (1994) [5] A. I. A. Cunha, M. C. Schneider, and C. Galup-Montoro, An MOS transistor model for analog circuit design, IEEE J. Solid-State Circuits, vol. 33, no. 10 (1998) 10

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

企業策略期中報告2..doc

企業策略期中報告2..doc 1 DRAM...2 I. DRAM...2 II. DRAM...2 III. DRAM...3...15 I....15 II....17 III....19 IV....19...22 I....22 II....22 III....23 IV....23...24 I. DRAM II. DRAM 1. 2 III. DRAM 1. DRAM 3 2. DRAM 4 5 6 3. DRAM

More information

Q expert-完成出卷

Q expert-完成出卷 1. ( ) 下列敘述何者錯誤? 104-1 高二電子學 H5 電晶體直流偏壓電路 (A) 電晶體的工作點又稱為 Q 點 () 更換不同 β 值的電晶體, 會影響電路的工作點 () 電晶 體欲用在線性放大電路時, 須工作於飽和區 (D) 線性放大器常用來做小信號放大 2. ( A ) 電晶體當放大器使用時, 須工作於 (A) 作用區 () 飽和區 () 截止區 (D) 以上皆非 3. ( ) 電晶體當放大器使用時,

More information

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire wound type widely used in the communication applications, such as cellular phones, television tuners, radios, and other electronic devices. The

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

SVS26CS Sensors Module User Guide Rev. 1.0 Aug Copyright 2018 Sivann Inc., All Rights Reserved.

SVS26CS Sensors Module User Guide Rev. 1.0 Aug Copyright 2018 Sivann Inc., All Rights Reserved. User Guide Rev. 1.0 Aug. 2018 Copyright 目錄 一 介紹... 2 1.1 相關開發文件... 2 1.2 硬體規格... 3 二 硬體配置... 5 三 接腳圖... 7 四 接腳描述... 8 五 版本歷史... 10 Rev. 1.0 1 / 10 一 介紹 Sivann 為一通用型的感測器應用模組, 其包含了 6 種常用的感測器與 2 種控制器 感測器包含溫濕度

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 - 143-1. 2. 1 60 30 1959 8 1998 pp.544~48 - 144-3. 4. 5. 6. 7. 8. 9. ( ) 10. 11. 12. 13. - 145 - -i 2. 13. -i -ii 2 1993 6 pp.46~51 3 1993 pp.69~76 p.552 4 1985 5 pp.257~58 - 146-1. 3. 5. 7. 9. ( ) 11.

More information

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09 ELECTRICITY ELECTRICITY BASIC BASIC 本章學習目標 1. 利用節點電壓法分析各支路的電流 2. 利用迴路電流法分析各迴路的電流 3. 瞭解重疊定理在多電源電路的應用. 利用戴維寧與諾頓定理化簡電路 5. 瞭解戴維寧與諾頓等效電路的轉換 6. 學習負載如何在電路中獲得最大的功率轉移 0626-0.indd 12 2009/11/10 下午 0:58:02 本章綱要 -1

More information

(CIC) H10-86A 審查會議時間表

(CIC) H10-86A 審查會議時間表 請注意 : T25HVG2-105B 梯次下線晶片資料 1. 此資料包含下線晶片資料與不下線晶片資料 2. 下線晶片資料按 10% 部分負擔 新進教師晶片 預核計畫晶片 優良晶片 教育性晶片排列 3. 申請編號中, 大寫英文字母代表 :A:10% 部分負擔,N: 新進教師晶片,P: 預核計畫晶片,I: 優良晶片, E: 教育性晶片 ; 尾端小寫英文字母代表 :a: 使用 Cell-based Design

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126 ated Current( 耐電流 ): >3 A( 安培 ) INTODUCTION 產品介紹 Multilayer high current chip beads are SMD components that possess a ultra-low DC resistance. Their impedance mainly comprises resistive part. Therefore,

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

ICTQ Question 6

ICTQ Question 6 電子學有效教學示範 ( 電晶體小訊號分析 ) 主講人 : 古紹楷指導教授 : 戴建耘 Psntaton By Tzu-W Chn 1 Dpatmnt of Industal duaton Natonal Tawan Nomal Unvsty 重點闗鍵詞 : 小訊號分析 英文闗鍵詞 :small sgnal analyss 教學重點 : 1. 學生能了解電晶體交流等效電路 2. 學生能理解小訊號分析步驟

More information

ated Current( 耐電流 ): 1-3A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 155 (42) 1.±.5.5±.5.5±.5.1~ (63) 1.6±.15.8±

ated Current( 耐電流 ): 1-3A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 155 (42) 1.±.5.5±.5.5±.5.1~ (63) 1.6±.15.8± ated Current( 耐電流 ): 1-3A( 安培 ) INTODUCTION 產品介紹 Multilayer high current chip beads are SMD components that possess a low DC resistance. Their impedance mainly comprises resistive part. Therefore, when

More information

Resistors - All Resistors - Chip Resistors

Resistors - All Resistors - Chip Resistors THICK FILM CHIP RESISTORS 特性 (Features) 輕薄短小 : 小型輕量, 大幅縮小 PC 板面積及重量 Small size and ligtweight with size range per int'l standard 裝配性佳 : 外觀均勻精確, 易於裝配 Highly stable in auto-placement surface mounting application

More information

Dimensions 尺寸 K RJ45 Connector 48" [1219 mm] D E 48" [1219 mm] RJ45 Connector 接頭 Ø F J 迴路數量 number of circuits K 不間斷安培 continuous amps RJ45 Connector

Dimensions 尺寸 K RJ45 Connector 48 [1219 mm] D E 48 [1219 mm] RJ45 Connector 接頭 Ø F J 迴路數量 number of circuits K 不間斷安培 continuous amps RJ45 Connector 系列說明總覽 Series Overview 精簡膠囊型設計 Compact Capsule Design Fully Compliant With IEEE 802.3 Formats 100Base-T / 1000Base-T Ethernet Cable 完全符合 IEEE 802.3 格式 100Base-T / 1000Base-T 以太網電纜 T568B Wiring Terminated

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

最新执法工作手册(二百六十七)

最新执法工作手册(二百六十七) ................................. I .................... II ( ) 1997 1 14 3 ( ) () () () () () () 3 3 ( ) () () () () 7 30 1 3 1000 5000 () () () 1 5 1000 5000 () () () ()30 () ()

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

Microsoft PowerPoint - MR413_Lec3.pptx

Microsoft PowerPoint - MR413_Lec3.pptx 模拟集成电路课程设计 ( 版图 ) Layout in Analog Integrated Circuits Assist. Prof. Jian Zhao Prof. Guoxing Wang Shanghai Jiao Tong University School of Microelectronics zhaojianycc@sjtu.edu.cn Time Instructors Lecture:

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

崑山科技大學電子工程系學生專題製作報告 數位轉類比電路設計與佈局實現 Design and Implementation of a 6-bit Binary-Weighted Digital to Analog Converter 指導教授 : 簡尊彝 專題組員 : 鮑建名 學號 :4960K020

崑山科技大學電子工程系學生專題製作報告 數位轉類比電路設計與佈局實現 Design and Implementation of a 6-bit Binary-Weighted Digital to Analog Converter 指導教授 : 簡尊彝 專題組員 : 鮑建名 學號 :4960K020 崑山科技大學電子工程系學生專題製作報告 數位轉類比電路設計與佈局實現 Design and Implementation of a 6-bit Binary-Weighted Digital to Analog Converter 指導教授 : 簡尊彝 專題組員 : 鮑建名 學號 :4960K020 葉明煜 學號 :4960K160 中華民國 100 年 06 月 I 崑山科技大學電子工程系學生專題製作報告

More information

(Phase Locked Loops, PLL PLL (Chipset PLL GHz 6M Hz 96M USB2.0 USB2.1 6M Hz [1][2]

(Phase Locked Loops, PLL PLL (Chipset PLL GHz 6M Hz 96M USB2.0 USB2.1 6M Hz [1][2] (91 _ (91 91 1 7 A08-91A E-mail : mail@mail.cycu.edu.tw / / (03456789-111 0912345678 (034567890 E-mail : d12345@cycu.edu.tw 1. 2. E-mail E-mail Research and design on phase locked loops for clock generator

More information

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V Power Electronics Testings www.chromaate.com Turnkey Test & Automation Solution Provider w w w.chromaate.com Chroma 1. 62000H-S I-V (MPPT) 2. 66200 3. 6500/61500/61800 61800 4. 63800 4 5 9 3 Chroma I-V

More information

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25 ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25% 歐姆直流電阻耐電流產品料號 @ 1MHz Ω (Max.) 歐姆 ma (Max.) 15 系列

More information

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号:

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号: 2 21,310,164 21,779,131-2.15 10,156,038 10,125,095 0.31 10.58 10.55 0.28 10.57 10.55 0.19-2,271,243-2,336,083 2.78% 0.035 0.240-85.42% 0.33 2.45 2.12 0.35 2.43 2.08 3 2,089 2,292 7,425-457 -2,587 4.2 33,418

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

bnb.PDF

bnb.PDF 2003 1 39 2003 2 39 2003 3 39 2003 M 4 39 2003 5 39 2003 6 39 2003 7 39 2 4 2003 8 39 2003 2002 11 22 D05D06 4,708,777.00 9 39 2003 18 10 39 2003 11 39 2003 [2003]A271 2003 6 30 2003 1-6 2003 1-6 2003

More information

公安机关业务管理与执法实务全书(八).doc

公安机关业务管理与执法实务全书(八).doc ............................................. I ........................... ( )......... II ,, , , ( ) ( ) ( ) ( ) : ( ) ; ( ) ; ( ) ( ) ; ( ) ; ( ) ( ) ; ( ),, : , : ( ) ; ( ), ; ( ) ; ( ) : ( ) ; ( )

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

untitled

untitled TT...1 TT...6 TT...13 TT...21 TT...22 TT...23 TT...25 TT...25 TT...32 TT...33 TT...33 TT...34 TT...38 T...40T TT...44 TT...46 TT...47 TT...49 TT...51 TT...53 TT...53 TT...54 TT...54 TT...54 TT...55 ,,,,,,,,

More information

Microsoft Word - 第三章第一節第二節.doc

Microsoft Word - 第三章第一節第二節.doc 原 臺 中 刑 務 所 典 獄 長 官 舍 第 三 章 臺 中 刑 務 所 典 獄 官 建 築 研 究 與 調 查 第 一 節 建 築 特 色 及 考 證 一 日 治 時 期 臺 灣 官 舍 建 築 特 色 分 析 - 以 臺 中 市 西 區 為 例 96 ( 一 ) 臺 灣 總 督 府 官 舍 制 度 日 治 初 期 臺 灣 總 督 府 為 從 日 本 內 地 招 募 各 種 官 吏 來 到 臺

More information

Microsoft Word - ACI chapter00-1ed.docx

Microsoft Word - ACI chapter00-1ed.docx 前言 Excel Excel - v - 財務管理與投資分析 -Excel 建模活用範例集 5 相關 平衡 敏感 - vi - 前言 模擬 If-Then 規劃 ERP BI - vii - 財務管理與投資分析 -Excel 建模活用範例集 ERP + BI + ERP BI Excel 88 Excel 1. Excel Excel 2. Excel 3. Excel - viii - 前言 1.

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

中華民國 第49屆中小學科學展覽會

中華民國 第49屆中小學科學展覽會 中華民國第 49 屆中小學科學展覽會 作品說明書 高中組生活與應用科學科 040814 太陽能光控節能窗簾 學校名稱 : 基隆市私立二信高級中學 作者 : 指導老師 : 高二許栢豪 王永富 高二林宸漢 高二謝誌倫 高二許硯鈞 關鍵詞 : 太陽能 光控電路 窗簾 CO2 1 6 1900 1 3 84 580 CO2 1-1 2003 CO2 4.57 CO2 1.43 1-2 1-1 CO2 1-2

More information

SUNSTAR 单片机专用电路 TEL: FAX: SDL471X-NNN 十二位雙語說話計算機 IC General Description The 471X

SUNSTAR 单片机专用电路   TEL: FAX: SDL471X-NNN 十二位雙語說話計算機 IC General Description The 471X SDL1X-NNN General Description The 1X is series IC are dedicated for 12-Digit Dual-Language Talking Calculator applications with full functions of 12-digit talking calculator, Gregorian calendar and digital

More information

CMOS 14 ADS..7 ADS

CMOS 14 ADS..7 ADS 堃 ..3 3..3 4 CMOS 14 ADS..7 ADS.33 38...38 5.GHz The design of 5.GHz low voltage and low power consumption mixer with current reuse Maxwell s PHS 3 G OFDM 5 GHz RF RF SOC, System on a Chip (GaAs) (Bipolar)

More information

礼仪玉和葬玉

礼仪玉和葬玉 http://shop33322103.taobao.com 1 http://shop33322103.taobao.com 2 http://shop33322103.taobao.com 3 http://shop33322103.taobao.com 4 http://shop33322103.taobao.com 5 http://shop33322103.taobao.com 6 http://shop33322103.taobao.com

More information

PPTVIEW

PPTVIEW New Product line GP series AD3828GP~ AD12038GP DS series AD3828DS~ AD9238DS AB series AB6015~ AB12032 AS series AS6076~ AS12038 GP series Great Performance Axial fan New design for higher airflow & low

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

<4D6963726F736F667420576F7264202D203237B4C12DA2D1A6DBB54DACECBEC7BB50ACECA7DEC3FE2E646F63>

<4D6963726F736F667420576F7264202D203237B4C12DA2D1A6DBB54DACECBEC7BB50ACECA7DEC3FE2E646F63> 高 雄 師 大 學 報 2009, 27, 9-16 採 用 兩 級 共 源 極 串 接 之 WiMAX 功 率 放 大 器 設 計 吳 建 銘 1 許 琮 富 2 摘 要 本 論 文 採 用 砷 化 鎵 (GaAs) 擬 態 高 電 子 移 動 率 電 晶 體 (PHEMT) 研 製 應 用 於 全 球 互 通 微 波 存 取 (WiMAX) 系 統 之 2.6 GHz 功 率 放 大 器 混 成

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5>

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5> 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 年 度 博 士 资 格 考 试 实 施 细 则 一 总 则 本 细 则 由 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 ( 以 下 简 称 信 息 学 院 ) 教 学 指 导 委 员 会 讨 论 制 定, 适 用 于 信 息 学 院 2014 级 硕 士 研 究 生 的 年 度 博 士 资 格 考 试 信 息 学 院 2014

More information

電器用電量調查說明

電器用電量調查說明 電 器 用 電 量 調 查 說 明 電 器 用 電 量 調 查 表 填 寫 須 知 填 寫 電 器 用 電 量 調 查 表 時, 請 按 照 第 一 欄 所 列 的 項 目 提 供 資 訊 或 進 行 運 算 目 標 是 算 出 單 月 用 電 量 有 多 少 瓩 小 時 ( 第 十 二 列 ) 首 先, 算 出 某 項 電 器 平 均 每 日 開 啟 時 數 ( 第 三 列 ), 再 乘 以 30

More information

AC DC / AC-AC DC-DC AC-DC DC-AC AC-AC DC-DC AC AC Transformer AC DC AC AC DC? AC DC DC Voltage V Volt V V Q JV = J / Q Current I Ampere A AsQA = Q / s

AC DC / AC-AC DC-DC AC-DC DC-AC AC-AC DC-DC AC AC Transformer AC DC AC AC DC? AC DC DC Voltage V Volt V V Q JV = J / Q Current I Ampere A AsQA = Q / s What's fun in EE 臺大電機系科普系列 如何掌握看不見的電 直流電源轉換的方法 陳耀銘 國立臺灣大學電機工程學系副教授 楔子 電能 是各式各樣的能量形式中 最容易被傳輸與轉換 也最容易被人類所 使用的一種能量來源 生活周遭很多物品都是以電能作為其能量的來源 所以 我 們常聽到 這個東西 要插電 或是 噯呷電 台語 甚至 也常常用 電 來 形容一個人的精神狀態 如 這位小朋友已經玩到沒電了

More information

SRD-N/TRD-N DIMENSIONS 規格尺寸 SRD-N SRD-N + PF08A + FH- 2 UNIT :mm TRD-N TRD-N + 8PFA 101 SRD-N/TRD-N POWER OPERATION 操作方式 4

SRD-N/TRD-N DIMENSIONS 規格尺寸 SRD-N SRD-N + PF08A + FH- 2 UNIT :mm TRD-N TRD-N + 8PFA 101 SRD-N/TRD-N POWER OPERATION 操作方式 4 STON STAR-DELTA TIMER SRD-N/TRD-N 馬達啟動器 SRD-N ORDERING INFORMATION 訂購說明 TRD-N Ex S RDN 0S A220 CE Approved. STON or P&B RELAY inside. MOTOROLA IC inside. Standard change time is 0.1Seconds. CE 認證 內部採用美國第一品牌

More information

淺談參考電壓(Voltage Reference)

淺談參考電壓(Voltage Reference) 淺談參考電壓 (Voltage Reference) 大多數的類比電路, 都需要參考用的電壓 電流 或是 時間 參考電壓提供一個準則, 如 DAC 或 ADC 的 LSB 大小 穩壓器 (Regulator) 的輸出電壓位準 電池充電器的開與關等, 都是由參考電壓源或參考電流源所提供及決定 近年來, 由於製程的進步, 以及環保的需求, 使得系統操作電壓不斷地下降, 舊式的各種參考電壓線路逐一失效 本文將介紹於各個電壓下,

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

第 5.1 節 5 章 雙極接面電晶體 基本雙極接面電晶體 5.1 (a) 偏壓在順向主動模式下之雙極電晶體的基極電流為 ib = 6.0 µa 而集極電流為 ic = 510 µa 求出 β α 及 ie (b) 若 ib = 50 µa 且 重做 (a) 部分 5.2 (a) 某特定種類電晶體之

第 5.1 節 5 章 雙極接面電晶體 基本雙極接面電晶體 5.1 (a) 偏壓在順向主動模式下之雙極電晶體的基極電流為 ib = 6.0 µa 而集極電流為 ic = 510 µa 求出 β α 及 ie (b) 若 ib = 50 µa 且 重做 (a) 部分 5.2 (a) 某特定種類電晶體之 430 設計電晶體電路之直流偏壓以符合特定直流電流及電壓 並穩定 Q 點使其抵抗電晶體參數之變動 應用直流分析與設計技巧於多級電晶體電路中 復習問題 1. 欲使電晶體偏壓於順向主動模式下 加在 npn 雙極電晶體上所需 之偏壓電壓為何 2. 定義 pnp 雙極電晶體操作在截止 順向主動模式 飽和模式下之 條件 3. 定義共基極電流增益及共射極電流增益 4. 討論交流及直流共射極電流增益之差別 5.

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

汉 学 研 究 学 刊 第 六 卷 (2015) Journal of Sinological Studies, Vol.6 (2015) 一 唐 太 宗 的 書 法 文 化 建 設 唐 太 宗 ( 李 世 民,597-649,627-649 在 位 ) 酷 愛 書 法, 宣 和 書 譜 評 爲 :

汉 学 研 究 学 刊 第 六 卷 (2015) Journal of Sinological Studies, Vol.6 (2015) 一 唐 太 宗 的 書 法 文 化 建 設 唐 太 宗 ( 李 世 民,597-649,627-649 在 位 ) 酷 愛 書 法, 宣 和 書 譜 評 爲 : 汉 学 研 究 学 刊 第 六 卷 (2015), 页 13-84 Journal of Sinological Studies, Vol.6 (2015), pp.13-84 唐 太 宗 的 書 法 文 化 建 設 與 唐 楷 書 風 的 確 立 和 演 變 李 乾 耀 博 士 內 容 摘 要 : 唐 太 宗 雅 好 書 法, 宣 和 書 譜 與 米 芾 書 史 皆 評 爲 留 心 翰 墨, 粉

More information

(陈其工、凌有铸)安徽省高等学校质量工程项目进展报告 自动化专业教学团队.doc

(陈其工、凌有铸)安徽省高等学校质量工程项目进展报告  自动化专业教学团队.doc 附 件 2: 项 目 类 别 : 教 学 团 队 安 徽 省 高 等 学 校 质 量 工 程 项 目 进 展 报 告 项 目 名 称 : 自 动 化 专 业 教 学 团 队 项 目 负 责 人 : 陈 其 工 凌 有 铸 联 系 方 式 : 0553-2871262 所 在 单 位 : 安 徽 工 程 大 学 立 项 时 间 : 2010 年 11 月 9 日 填 表 时 间 : 2012 年 8

More information

<4D6963726F736F667420576F7264202D20313034A67EB14DAD78B14DA468A6D2BFEFC2B2B3B95FAFF3AED75F2DA965ADFBB77CABE1ADD7A5BFAAA92DA64CBB73AAA9322E646F63>

<4D6963726F736F667420576F7264202D20313034A67EB14DAD78B14DA468A6D2BFEFC2B2B3B95FAFF3AED75F2DA965ADFBB77CABE1ADD7A5BFAAA92DA64CBB73AAA9322E646F63> 民 國 104 年 國 軍 志 願 役 專 業 預 備 軍 官 預 備 士 官 班 考 選 簡 章 目 錄 壹 考 選 對 象 及 資 格 :... 1 貳 考 選 員 額 :... 3 參 報 名 程 序 :... 4 肆 考 試 日 期 及 地 點 :... 7 伍 考 試 科 目 配 分 及 命 題 範 圍 :... 7 陸 測 驗 一 般 規 定 :... 8 柒 成 績 評 定 與 錄 取

More information

Microsoft Word - Executive Summary _Chi_ V_6.doc

Microsoft Word - Executive Summary _Chi_ V_6.doc 合約編號 CE532009 TT 金鐘交通研究 摘要 二零一二年八月 合 約 編 號 目 金 錄 鐘 交 通 研 究 CE532009 簡 介 目 主 摘 方 要 法 的 與 結 流 究 檢 要 現 有 的 問 交 題 通 和 及 限 運 制 時 交 行 調 通 人 狀 輸 數 交 設 立 通 模 基 準 型 年 建 立 計 年 份 規 劃 土 狀 模 地 況 即 車 及 行 人 交 通 表 現 發

More information

封皮:

封皮: 北 京 交 通 大 学 电 子 信 息 工 程 学 院 硕 士 研 究 生 报 考 指 南 北 京 市 海 淀 区 上 园 村 3 号 邮 编 100044 招 生 咨 询 电 话 :010-51687344-803 http://eaie.bjtu.edu.cn 北 京 交 通 大 学 概 况 : 教 育 部 直 属 的 全 国 重 点 大 学, 原 名 : 北 方 交 通 大 学 1896 年

More information

勤 學 * 卓 越 * 快 樂 成 長 本 校 在 老 師 群 策 群 力 共 同 討 論 下, 型 塑 了 學 校 願 景 : 勤 學 卓 越 快 樂 成 長 ( 一 ) 勤 學 運 用 真 的 力 量 培 養 勤 學, 以 語 文 教 為 基 礎 紮 根 ( 二 ) 卓 越 利 用 美 的 感

勤 學 * 卓 越 * 快 樂 成 長 本 校 在 老 師 群 策 群 力 共 同 討 論 下, 型 塑 了 學 校 願 景 : 勤 學 卓 越 快 樂 成 長 ( 一 ) 勤 學 運 用 真 的 力 量 培 養 勤 學, 以 語 文 教 為 基 礎 紮 根 ( 二 ) 卓 越 利 用 美 的 感 桃 園 市 復 旦 國 民 小 學 104 學 年 度 學 校 課 程 計 畫 壹 依 據 貳 目 的 一 教 基 本 法 第 13 條, 國 民 教 法 第 4 條 二 教 部 92 公 佈 之 國 民 中 小 學 九 年 一 貫 課 程 綱 要 三 桃 園 市 政 府 推 動 國 民 中 小 學 九 年 一 貫 課 程 實 施 計 畫 四 桃 園 市 政 府 97.5.29 府 教 數 字 第

More information

014315 市 立 永 平 高 中 無 填 報 無 填 報 (02)22319670 014322 市 立 樹 林 高 中 已 填 報 已 填 報 (02)86852011 014326 市 立 明 德 高 中 已 填 報 (02)26723302 014332 市 立 秀 峰 高 中 已 填 報

014315 市 立 永 平 高 中 無 填 報 無 填 報 (02)22319670 014322 市 立 樹 林 高 中 已 填 報 已 填 報 (02)86852011 014326 市 立 明 德 高 中 已 填 報 (02)26723302 014332 市 立 秀 峰 高 中 已 填 報 加 總 - 人 數 每 位 填 報 人 只 能 填 一 種 學 制 欄 標 籤 列 標 籤 高 級 中 學 進 修 學 校 010301 國 立 華 僑 高 級 中 等 學 校 無 填 報 已 填 報 (02)29684131 011301 私 立 淡 江 高 中 無 填 報 已 填 報 (02)26203850 011302 私 立 康 橋 高 中 已 填 報 (02)22166000 011306

More information

2. 禁 止 母 乳 代 用 品 之 促 銷 活 動, 以 及 不 得 以 贊 助 試 用 或 免 費 等 方 式, 取 得 奶 瓶 及 安 撫 奶 嘴 認 證 說 明 以 贊 助 試 用 或 免 費 等 方 式, 取 得 奶 瓶 及 安 撫 奶 嘴, 並 在 婦 產 科 門 診 兒 科 門 診 產

2. 禁 止 母 乳 代 用 品 之 促 銷 活 動, 以 及 不 得 以 贊 助 試 用 或 免 費 等 方 式, 取 得 奶 瓶 及 安 撫 奶 嘴 認 證 說 明 以 贊 助 試 用 或 免 費 等 方 式, 取 得 奶 瓶 及 安 撫 奶 嘴, 並 在 婦 產 科 門 診 兒 科 門 診 產 104 年 母 嬰 親 善 醫 療 院 所 認 證 基 準 及 評 分 說 明 ( 調 整 對 照 表 ) 認 證 說 明 措 施 一 : 明 訂 及 公 告 明 確 的 支 持 哺 餵 母 乳 政 策 (8 分 ) ( 一 ) 醫 療 院 所 成 立 母 嬰 親 善 推 動 委 員 會, 由 副 院 長 級 以 上 人 員 擔 任 主 任 委 員, 並 定 期 召 開 會 議, 評 估 醫 療 院

More information

Ps22Pdf

Ps22Pdf ,,, 30,, 1.,,, 1530, 50 ; 10,, ; ; 2.,, 1 ,,,,,, 520, 5979%, 1536 %, 3.,,,, 4.,,,,,,,,,! 2 ,,,,,,,,,,,,,, ;,,,, 3 ,,,,, ;,,,,,,,,,,,,,,,,,,,,,,,,,,, 4 60,,,,,,,,,,,,,,,,,,,,,,, ;,, 5 ,,,,,,, 20ppm,, ;

More information

現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度, 進而獲得地底物質密度與深度的關係 地下世界知多少 km/s g/cm 3 P Gpa km S P S 3,000 3,000 ak K 透視地底 Percy Bridgma

現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度, 進而獲得地底物質密度與深度的關係 地下世界知多少 km/s g/cm 3 P Gpa km S P S 3,000 3,000 ak K 透視地底 Percy Bridgma 透視地球深處 的窗戶? extreme condition extreme environment 94.5 1 270 21 3.9 12.3 6,400 300 4,000 1864 Jules Gabriel Verne 1959 2008 1990 Paul Preuss 2003 24 2013 2 482 現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度,

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

02所有分支机构的营业场所和电话.xls

02所有分支机构的营业场所和电话.xls 分 支 机 构 名 称 分 支 机 构 地 址 邮 编 第 一 联 系 电 话 第 二 联 系 电 话 ( 统 一 总 机 ) 中 国 平 安 人 寿 保 险 股 份 有 限 公 司 湖 南 分 公 司 湖 南 省 长 沙 市 劳 动 中 路 58 号 京 电 大 厦 3 至 6 楼 410007 0731-85601560 中 国 平 安 人 寿 保 险 股 份 有 限 公 司 广 西 分 公 司

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

一 國家品質 5 10 象 : 8 7 ~ 二 卓越中堅企業及重點輔導象遴選 ,

一 國家品質 5 10 象 : 8 7 ~ 二 卓越中堅企業及重點輔導象遴選 , 貳 一 國家品質 二 卓越中堅企業及重點輔導象遴選 三 工業精銳 四 金點設計 五 台灣國際創意設計大賽 六 資訊服務應用創新競賽 七 輕金屬創新應用競賽 八 智慧型機器人產品創意競賽 九 數位內容系列競賽 十 手提包創新設計競賽 十一 時裝設計新人 十二 全國紡織技術論文競賽 一 國家品質 5 10 象 : 8 7 ~8 2435 二 卓越中堅企業及重點輔導象遴選 101 10 8 200 2,000

More information

ch05

ch05 物理系光電組 二年級電 子學 單元七 BJT 電晶體 授課 老師 : 輔仁 大學物理系副教授張敏娟 2015 spring 1 物理系光電組 二年級電 子學 單元七 BJT 電晶體 BJT 電晶體 基本原理 1 BJT 電晶體把 N 型和 P 型半導體, 再組合, 變成電晶體 BJT 電晶體 是一個把訊號放大的元件 3 BJT 電晶體 三端元件 4 BJT 有兩種組成 NPN 型 PNP 型 5 N

More information

Microsoft Word - iR_DataSheet_CHT_

Microsoft Word - iR_DataSheet_CHT_ Remote I/O 產品規格 Table of Contents 1. 產品概觀... 1 1.1 產品清單 :... 1 1.1.1 耦合器 :... 1 1.1.2 I/O 模組 :... 1 2. 總線耦合器... 2 2.1 CANopen 規格... 2 2.2 Ethernet TCP/IP 規格... 3 3. 數位輸入 / 輸出... 4 3.1 數位輸入模組... 4 3.2 數位輸出模組...

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

(Pattern Recognition) 1 1. CCD

(Pattern Recognition) 1 1. CCD ********************************* ********************************* (Pattern Recognition) 1 1. CCD 2. 3. 4. 1 ABSTRACT KeywordsMachine Vision, Real Time Inspection, Image Processing The purpose of this

More information

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9>

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9> 国 信 证 券 股 份 有 限 公 司 关 于 杭 州 远 方 光 电 信 息 股 份 有 限 公 司 发 行 股 份 及 支 付 现 金 购 买 资 产 并 募 集 配 套 资 金 暨 关 联 交 易 之 独 立 财 务 顾 问 报 告 ( 修 订 稿 ) 独 立 财 务 顾 问 签 署 日 期 : 二 O 一 六 年 二 月 独 立 财 务 顾 问 声 明 与 承 诺 国 信 证 券 股 份 有

More information

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職 第 二 三 類 投 保 單 位 二 代 健 保 實 務 說 明 會 行 政 院 衛 生 署 全 民 健 康 保 險 局 南 區 業 務 組 說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費

More information

<4D6963726F736F667420576F7264202D20B6C0AE78B0EDAABAC0B8A740B8D65FA7EBA7BAA54EA4E5BEC7ACE3A873C24FA55AA15E2E646F63>

<4D6963726F736F667420576F7264202D20B6C0AE78B0EDAABAC0B8A740B8D65FA7EBA7BAA54EA4E5BEC7ACE3A873C24FA55AA15E2E646F63> 黃 庭 堅 遷 謫 時 期 的 戲 作 詩 鍾 美 玲 高 苑 科 技 大 學 通 識 教 育 中 心 摘 要 受 北 宋 新 舊 黨 爭 的 影 響, 黃 庭 堅 於 紹 聖 元 年 責 授 涪 州 別 駕 黔 州 安 置, 從 此 展 開 一 連 串 遷 謫 的 命 運, 最 後 卒 於 遷 謫 地 宜 州 考 察 其 遷 謫 時 期 的 詩 歌, 有 許 多 以 戲 字 為 題 的 作 品,

More information

Microsoft Word - F5.docx

Microsoft Word - F5.docx 2 目錄 5A 5A 5A 5A 高慧冰 譚雅樂 余雅瑩 周子慧 劇本... P.4-P.5 奔跑人生... P.6 唐老師... P.7 唐老師... P.8 5B 5B 5B 5B 5B 5B 徐子盈 呂惠雅 黃智昭 熊雪瑩 鍾詠晴 吳博倫 敬愛的人... P.9 偶像... P.10 冬天... P.11 春夏秋冬... P.12 唐老師... P.13 安南讓決策從此變得簡單... P.14

More information

Microsoft Word - 朗诵诵材.doc

Microsoft Word - 朗诵诵材.doc 2014 年 全 港 春 華 杯 普 通 話 朗 誦 及 拼 音 认 读 大 賽 朗 誦 誦 材 幼 稚 園 K1- 散 文 組 娃 娃 的 夢 花 兒 的 夢, 是 紅 的, 小 樹 的 夢, 是 綠 的, 露 珠 的 夢, 是 圓 的, 娃 娃 的 夢, 是 甜 的 幼 稚 園 K1- 兒 歌 組 小 白 兔 小 白 兔, 白 又 白, 兩 隻 耳 朵 豎 起 來, 愛 吃 蘿 蔔 和 青 菜,

More information

<4D F736F F D20C8CBB8A3D2BDD2A9BCAFCDC5B9C9B7DDB9ABCBBECFEACABDC8A8D2E6B1E4B6AFB1A8B8E6CAE9A3A8CEE4BABAB5B1B4FABFC6BCBCB2FAD2B5BCA

<4D F736F F D20C8CBB8A3D2BDD2A9BCAFCDC5B9C9B7DDB9ABCBBECFEACABDC8A8D2E6B1E4B6AFB1A8B8E6CAE9A3A8CEE4BABAB5B1B4FABFC6BCBCB2FAD2B5BCA 600079 369 369 2015 4 7 15 16 15 16 A 2014 ... 2... 3... 9... 11... 14... 15... 16... 17... 18... 19... 23... 24 1 / / 24.49% / / 2 1 2 369 3 4 5420100000024936 617806826-4 7 8 9 420101178068264 10 369

More information