MCU产品规格书

Size: px
Start display at page:

Download "MCU产品规格书"

Transcription

1 SC32F58128/SC32F58256(Pioneer),32 位高性能 Cortex-M0 内核 MCU 描述 SC32F58128(256) 是一款专为电机控制器和数控电源开发的 Cortex-M0 内核 MCU 产品, 最高工作频率可达 80Mhz, 同时还具备丰富的辅助运算功能单元可以满足各种复杂函数运算功能 芯片内嵌 regulator, 只需要单电源供电 芯片内嵌高速及低速振荡器各一个, 可以节省系统成本 芯片整体还具有各种低功耗工作模式, 可以适应各种应用需求 同时, 芯片集成有多路 PWM,CAP,QEP,Timer 集成多通道 12 位 ADC,DAC, 比较器 支持可配置的片内事件互联功能 丰富的通讯接口包括 UART,I2C,SPI,CAN 等, 可满足多种电机及功率控制系统应用需求 应用 永磁同步电机 (PMSM) 控制器直流无刷电机 (BLDC) 控制器伺服电机控制器通用 / 专用变频器交直流逆变器 主要特点 ARM 32bit Cortex-M0 双核 ARM 32-bit Cortex-M0 CPU( 最高主频 80MHz) 采用 ARM Cortex-M0 处理内置可嵌套中断控制器 (NVIC) 支持单周期 32bit*32bit 乘法操作 6 通道 DMA 控制器 支持 Filter DIV CRC Cordic 等协处理功能 片上存储器 集成 256K/128K 字节的 Flash, 支持多用户代码加密功能 集成 32K/16K 字节的 SRAM, 带奇偶校验功能 开发支持 支持双线串口调试 (SWD) 支持 MEMORY 和外设保护 电源和复位 工作电压 :2.4V-5.5V 内置 1.5V 低功耗 LDO 支持上电复位 / 可编程掉电复位 / 可编程掉电检测 内置低压复位模块,4 级复位电压可选 :2.3V,2.7V,3.7V,4.1V 内置低压检测模块,8 级检测电压可选 :2.4V,2.7V,3.0V,3.3V,3.6V,3.9V,4.2V,4.5V 时钟系统 支持 1~15MHz 外置晶体振荡器 共 29 页第 1 页

2 集成 15MHz 内部可校准的高频振荡器 集成 32KHz 低频振荡器 集成内部 PLL 最高支持 160MHz 集成看门狗时钟计时器 支持时钟丢失检测 输入 / 输出 支持最多 72 个通用数字 IO(LQFP100) 具有可编程的上下拉 开漏输出模式 数字输入滤波以及输入反相 具有可编程的两档驱动能力 均可用作外部中断输入, 支持边沿和电平触发 工业控制外设 集成 8 组 16 路 PWM( 脉宽调制 ) 模块, 带死区控制, 相位控制 集成 3 路 CAP( 高速数字捕获 ) 模块 集成 2 路 QEP( 正交编码器 ) 模块 集成 3 路 TMIER( 通用定时器 ) 模块 支持模块间可编程事件触发互联管理 通讯接口 集成 3 路 UART 集成 4 路 SPI 集成 1 路 I2C 集成 1 路 CAN 高速模拟子系统 集成 1 路高性能 12 位 ADC, 支持 16 通道输入,1 微秒的转换时间, 同时 ADC 内置 4 路数字比较器 集成 3 路 12 位高速 DAC, 其中一路带 Buffer 输出 集成 3 路模拟比较器, 可与 DAC 联动工作 工作模式 正常工作模式 低功耗休眠模式 待机模式 工作温度 -40~105 C 封装形式 LQFP64/LQFP80/LQFP100 共 29 页第 2 页

3 产品规格分类 订单信息表 产品名称 封装形式 打印名称 环保等级 包装 SC32F58128LB1G LQFP-64-10* LB1G 无卤 料盘 SC32F58256LB1G LQFP-64-10* LB1G 无卤 料盘 SC32F58128LE1G LQFP-80-12* LE 无卤 料盘 SC32F58256LE1G LQFP-80-12* LE1G 无卤 料盘 SC32F58128LM1G LQFP LM1G 无卤 料盘 SC32F58256LM1G LQFP LM1G 无卤 料盘 资源对照表 内部框图 特征 LQFP-64 LQFP-80 LQFP-100 FLASH 128K Byte(SC32F58128) / 256K Byte(SC32F58256) RAM 16K Byte(SC32F58128) / 32K Byte(SC32F58256) CPU Single(SC32F58128)/Dual(SC32F58256)Cortex-M0 Analog I/O Digital I/O 共 29 页第 3 页

4 管脚分配图 LQFP64:SC32F58128/ SC32F58256 LQFP PA[11] 49 PA[5] 50 PA[4] PB[12] 31 PA[9] 30 PB[10] PA[10] VDD50 PA[3] VSSA PA[2] PB[13] PA[1] PB[14] PA[0] 56 VDD50 57 SC58128(256) PB[15] PF[15] VSSA PF[14] VDD PF[12] PD[12] PF[11] PC[2] PF[10] PB[4] PF[9] PB[5] PF[8] PB[8] VSSA 共 29 页第 4 页

5 LQFP80:SC32F58128/ SC32F58256 共 29 页第 5 页

6 LQFP100:SC32F58128/ SC32F58256 管脚描述 I/O 管脚属性 管脚描述 端口 PA.0-PA.15 I/O 16 位双向 I/O 口, 可位操作 PB.0-PB.15 I/O 16 位双向 I/O 口, 可位操作 PC.0-PC.15 I/O 16 位双向 I/O 口, 可位操作 共 29 页第 6 页

7 I/O 管脚属性 管脚描述 PD.0-PD.15 I/O 16 位双向 I/O 口, 可位操作 PE.0-PE.15 I/O 16 位双向 I/O 口, 可位操作 PF.0-PF.15 I/O 16 位双向 I/O 口, 可位操作 系统 RST I 外部复位脚, 低电平有效 OscIn I 外部晶振输入脚 OscOut O 外部晶振输出脚 DEBUGEN I 调试使能输入脚 TEST I 芯片测试模式选择输入, 正常工作模式浮空即可 电源地 VDD50 P 电源电压 VDD15 P 内核电源, 由芯片产生, 外接电容即可 AVDD50 P 模拟电源电压 VSSA P 数字及模拟地 VREFHI P 模拟高参考电压 VREFLO P 模拟低参考电压 注 : 管脚类型这一列中,P 表示电源管脚,I/O 表示通用输入 / 输出脚,I 表示输入脚,O 表示输出脚 管脚复用表 管脚名称 功能序号 功能名称 功能说明 0 PA0 GPIO 1 PWM0_OUTA PWM0 的 A 相输出 PA0 0 PA1 GPIO 1 PWM0_OUTB PWM0 的 B 相输出 PA1 2 CMP0LOUT CMP0L 比较器输出 (COMP1OUT) 0 PA2 GPIO 1 PWM1_OUTA PWM1 的 A 相输出 PA2 PA3 0 PA3 GPIO 共 29 页第 7 页

8 管脚名称 功能序号 功能名称 功能说明 1 PWM1_OUTB PWM1 的 B 相输出 2 SSP0_RXD SSP0 的接收数据信号 3 CMP0HOUT CMP0H 比较器输出 (COMP2OUT) 4 ERU3_PDOUT 事件请求单元 3 的模式匹配信号 5 ERU3_GOUT 事件请求单元 3 的事件门控信号 0 PA4 GPIO 1 PWM2_OUTA PWM2 的 A 相输出 PA4 0 PA5 GPIO 1 PWM2_OUTB PWM2 的 B 相输出 2 SSP0_TXD SSP0 的发送数据信号 PA5 CAP0_IN 3 CAP0_APWM CAP0 输入输出信号 0 PA6 GPIO 1 PWM3_OUTA PWM3 的 A 相输出 PA6 2 EXT0_SYNC_IN PWM 以及 CAP 的同步信号输入 3 EXT0_SYNC_OUT PWM 以及 CAP 的同步信号输出 0 PA7 GPIO 1 PWM3_OUTB PWM3 的 B 相输出 PA7 2 UART0_HS_RXD UART0 的接收信号 0 PWM4_OUTA PWM4 的 A 相输出 1 ADCSOCA ADC 的 SOCA 信号组合输出 PA8 0 PA9 GPIO PA9 1 PWM4_OUTB PWM4 的 B 相输出 共 29 页第 8 页

9 管脚名称 功能序号 功能名称 功能说明 4 ERU3_PDOUT 事件请求单元 3 的模式匹配信号 5 ERU3_GOUT 事件请求单元 3 的事件门控信号 0 PA10 GPIO 1 PWM5_OUTA PWM5 的 A 相输出 PA10 2 ADCSOCB ADC 的 SOCB 信号组合输出 0 PA11 GPIO 1 PWM5_OUTB PWM5 的 B 相输出 PA11 0 PA12 GPIO 1 PWM_TZ_0 PWM 的错误触发信号输入, 低电平有效 PA12 2 UART0_HS_TXD UART0 的发送信号 3 SSP1_TXD SSP1 的发送数据信号 0 PA13 GPIO 1 PWM_TZ_1 PWM 的错误触发信号输入, 低电平有效 PA13 2 SSP1_RXD SSP1 的接收数据信号 0 PA14 GPIO 1 PWM_TZ_2 PWM 的错误触发信号输入, 低电平有效 PA14 3 SSP1_CLKIN/OUT SSP1 的时钟信号 0 PA15 GPIO 1 PWM_TZ_0 PWM 的错误触发信号输入, 低电平有效 PA15 3 SSP1_FSSIN/OUT SSP1 的片选信号 共 29 页第 9 页

10 管脚名称功能序号功能名称功能说明 PB0 PB1 PB2 PB3 PB4 PB5 PB6 0 PB0 GPIO 1 SSP0_TXD SSP0 的发送数据信号 2 PWM_TZ_1 PWM 的错误触发信号输入, 低电平有效 0 PB1 GPIO 1 SSP0_RXD SSP0 的接收数据信号 2 PWM_TZ_2 PWM 的错误触发信号输入, 低电平有效 3 PWM7_OUTA PWM7 的 A 相输出 4 ERU0_PDOUT 事件请求单元 0 的模式匹配信号 5 ERU0_GOUT 事件请求单元 0 的事件门控信号 0 PB2 GPIO 1 SSP0_CLKIN/OUT SSP0 的时钟信号 3 XCLKOUT 外部时钟输出 0 PB3 GPIO 1 XCLKIN 外部时钟输入 2 SSP0_FSSIN/OUT SSP0 的片选信号 4 CAP0_IN CAP0_APWM CAP0 输入输出信号 5 PWM7_OUTB PWM7 的 B 相输出 0 PB4 GPIO 1 QEP0_AIN QEP0 的正交时钟脉冲 A 2 CMP0LOUT CMP0L 比较器输出 (COMP1OUT) 4 ERU1_PDOUT 事件请求单元 1 的模式匹配信号 5 ERU1_GOUT 事件请求单元 1 的事件门控信号 0 PB5 GPIO 1 QEP0_BIN QEP0 的正交时钟脉冲 B 2 CMP0HOUT CMP0H 比较器输出 (COMP2OUT) 0 PB6 GPIO 1 EQEP0_SIN/OUT EQEP0 的选通信号 (STROBE) 共 29 页第 10 页

11 管脚名称 功能序号 功能名称 功能说明 3 UART2_LS_TXD UART2 的发送信号 4 ERU1_PDOUT 事件请求单元 1 的模式匹配信号 5 ERU1_GOUT 事件请求单元 1 的事件门控信号 0 PB7 GPIO 1 QEP0_IIN/OUT EQEP0 的索引信号 (INDEX) PB7 3 UART2_LS_RXD UART2 的接收信号 4 ERU2_PDOUT 事件请求单元 2 的模式匹配信号 5 ERU2_GOUT 事件请求单元 2 的事件门控信号 0 PB8 GPIO 1 CAP0_IN/OUT CAP0 输入输出信号 PB8 2 SSP1_TXD SSP1 的发送数据信号 0 PB9 GPIO 1 SSP1_RXD SSP1 的接收数据信号 PB9 0 PB10 GPIO PB10 2 SSP1_CLKIN/OUT SSP1 的时钟信号 0 PB11 GPIO PB11 2 SSP1_FSSIN/OUT SSP1 的片选信号 0 PB12 GPIO 1 UART0_HS_RXD UART0 的接收信号 PB12 2 IIC_SDA IIC 的数据信号 3 PWM_TZ_1 PWM 的错误触发信号输入, 低电平有效 共 29 页第 11 页

12 管脚名称 功能序号 功能名称 功能说明 0 PB13 GPIO 1 UART0_HS_TXD UART0 的发送信号 PB13 2 IIC_SCL IIC 的时钟信号 3 PWM_TZ_2 PWM 的错误触发信号输入, 低电平有效 0 PB14 GPIO 1 CAN_RXD CAN 接收信号 PB14 2 UART1_LS_RXD UART1 接收信号 3 QEP1_BIN QEP1 的正交时钟脉冲 B 0 PB15 GPIO 1 CAN_TXD CAN 发送信号 PB15 2 UART1_LS_TXD UART1 发送信号 3 QEP1_AIN QEP1 正交时钟脉冲 A 4 ERU0_PDOUT 事件请求模块 0 的模式匹配信号 5 ERU0_GOUT 事件请求模块 0 的事件门控信号 0 PC0 GPIO 1 IIC_SDA IIC 的数据信号 PC0 2 EXT1_SYNC_IN PWM 以及 CAP 的同步信号输入 3 ADCSOCA ADC 的 SOCA 信号组合输出 4 SSP2_TXD SSP2 的发送数据信号 0 PC1 GPIO 1 IIC_SCL IIC 的时钟信号 PC1 2 EXT1_SYNC_OUT PWM 以及 CAP 的同步信号输出 3 ADCSOCB ADC 的 SOCA 信号组合输出 4 SSP2_CLKIN/OUT SSP2 的时钟信号 0 PC2 GPIO 1 CMP0HOUT CMP0H 比较器输出 (COMP2OUT) 2 CMP1HOUT CMP1H 比较器输出 (COMP3OUT) PC2 CAP1_IN 3 CAP1_APWM CAP1 的输入输出信号 PC3 0 PC3 GPIO 共 29 页第 12 页

13 管脚名称 功能序号 功能名称 功能说明 4 ERU2_PDOUT 事件请求模块 2 的模式匹配信号 5 ERU2_GOUT 事件请求模块 2 的事件门控信号 0 PC4 GPIO PC4 0 PC5 GPIO PC5 0 PC6 GPIO PC6 2 XCLKIN 外部时钟输入 0 PC7 GPIO PC7 0 PC8 GPIO 1 PWM6_OUTA PWM6 的 A 相输出 PC8 0 PC9 GPIO PC9 1 PWM6_OUTB PWM6 的 B 相输出 共 29 页第 13 页

14 管脚名称 功能序号 功能名称 功能说明 0 PC10 GPIO 1 CMP0HOUT CMP0H 比较器输出 (COMP2OUT) PC10 2 SSP2_RXD SSP2 接收数据信号 0 PC11 GPIO 1 CMP1HOUT CMP1H 比较器输出 (COMP3OUT) PC11 2 SSP2_FSSIN/OUT SSP2 片选信号 0 PC12 GPIO PC12 0 PC13 GPIO 1 PWM_TZ_0 PWM 的错误触发信号输入, 低电平有效 CAP0_IN 2 PC13 CAP0_APWM CAP0 输入输出信号 0 PC14 GPIO 1 QEP0_AIN QEP0 正交时钟脉冲 A PC14 0 PC15 GPIO 1 QEP0_BIN QEP0 正交时钟脉冲 B PC15 2 PWM_TZ_2 PWM 的错误触发信号输入, 低电平有效 共 29 页第 14 页

15 管脚名称功能序号功能名称功能说明 PD0 PD1 PD2 PD3 PD4 PD5 PD6 0 PD0 GPIO 1 QEP0_IIN/OUT QEP0 索引 (INDEX) 3 UART2_LS_RXD UART2 接收信号 0 PD1 GPIO 1 QEP0_SIN/OUT QEP0 选通 (STROBE) 3 UART2_LS_TXD UART2 发送信号 0 PD2 GPIO 1 CAN_TXD CAN 发送信号 2 UART1_LS_TXD UART1 发送信号 3 EQEP1_AIN EQEP1 正交时钟脉冲 A 0 PD3 GPIO 1 CAN_RXD CAN 接收信号 2 UART1_LS_RXD UART1 的接收信号 3 QEP1_BIN QEP1 正交时钟脉冲 B 0 PD4 GPIO 1 QEP1_IIN/OUT QEP1 索引 (INDEX) 0 PD5 GPIO 1 QEP1_SIN/OUT QEP1 选通 (STROBE) 0 PD6 GPIO 1 PWM_TZ_1 PWM 的错误触发信号输入, 低电平有效 2 CAP1_IN CAP1 的输入输出信号 共 29 页第 15 页

16 管脚名称功能序号功能名称功能说明 PD7 PD8 PD9 PD10 PD11 PD12 CAP1_APWM 0 PD7 GPIO 0 PD8 GPIO 1 SSP0_FSSIN/OUT SSP0 的片选信号 0 PD9 GPIO 1 SSP0_RXD SSP0 的接收数据信号 0 PD10 GPIO 1 SSP0_CLKIN/OUT SSP0 的时钟信号 3 IIC_SCL IIC 的时钟信号 0 PD11 GPIO 1 SSP0_TXD SSP0 的发送数据信号 3 IIC_SDA IIC 的数据信号 0 PD12 GPIO 1 PWM_TZ_0 PWM 的错误触发信号输入, 低电平有效 2 CAP2_IN CAP2_APWM CAP2 的输入输出信号 共 29 页第 16 页

17 管脚名称 功能序号 功能名称 功能说明 0 PD13 GPIO 1 SSP3_FSSIN/OUT SSP3 的片选信号 PD13 0 PD14 GPIO 1 SSP3_RXD SSP3 的接收数据信号 PD14 0 PD15 GPIO 1 SSP3_CLKIN/OUT SSP3 的时钟信号 PD15 0 PE0 GPIO 1 SSP3_TXD SSP3 的发送数据信号 PE0 0 ADCINA0 ADC 模拟输入 A 组 0 通道 PF0 0 ADCINA1 ADC 模拟输入 A 组 1 通道 1 DACBUFOUT DAC BUFFER 输出 ( 用于 CMP1H 的 DAC) PF1 共 29 页第 17 页

18 管脚名称 功能序号 功能名称 功能说明 0 ADCINA2 ADC 模拟输入 A 组 2 通道 1 CMP0LP CMP0L 比较器正端输入 (COMP1A) PF2 2 PF2 GPIO 0 ADCINA3 ADC 模拟输入 A 组 3 通道 PF3 0 ADCINA4 ADC 模拟输入 A 组 4 通道 1 CMP0HP CMP0H 比较器正端输入 (COMP2A) PF4 2 PF4 GPIO 0 ADCINA5 ADC 模拟输入 A 组 5 通道 PF5 0 ADCINA6 ADC 模拟输入 A 组 6 通道 1 CMP1HP CMP1H 比较器正端输入 (COMP3A) PF6 2 PF6 GPIO 0 ADCINA7 ADC 模拟输入 A 组 7 通道 PF7 0 ADCINB0 ADC 模拟输入 B 组 0 通道 PF8 共 29 页第 18 页

19 管脚名称 功能序号 功能名称 功能说明 0 ADCINB1 ADC 模拟输入 B 组 1 通道 1 VREF_DAC0 DAC 高参考电压 ( 用于 CMP0L 和 CMP0H 的 DAC) PF9 0 ADCINB2 ADC 模拟输入 B 组 2 通道 1 CMP0LN CMP0L 比较器负端输入 (COMP1B) PF10 2 PF10 GPIO 0 ADCINB3 ADC 模拟输入 B 组 3 通道 PF11 0 ADCINB4 ADC 模拟输入 B 组 4 通道 1 CMP0HN CMP0H 比较器负端输入 (COMP2B) PF12 2 PF12 GPIO 0 ADCINB5 ADC 模拟输入 B 组 5 通道 PF13 0 ADCINB6 ADC 模拟输入 B 组 6 通道 1 CMP1HN CMP1H 比较器负端输入 (COMP3B) PF14 2 PF14 GPIO 共 29 页第 19 页

20 管脚名称功能序号功能名称功能说明 PF15 0 ADCINB7 ADC 模拟输入 B 组 7 通道 管脚结构图 电源结构 地结构 Digital input R/W Input Data Reg VDD Analog input/output ADMOD PU SET Clear Toggle R/W Alternate function output Output Data Reg DRE SRE 0 1 FUNC[2:0] OD VDD PD PAD Alternate function 1 DIR 0 GPIO 结构示意图 共 29 页第 20 页

21 极限参数 如果器件工作条件超过 极限参数, 就可能会对器件造成永久性损坏 这些值仅为运行条件极限值, 我们建议不要使器件在该规范规定的范围以外运行 器件长时间工作在极限值条件下, 其可靠性会受到影响 电压特性参数符号测试条件最小值典型值最大值单位 电源电压 V DD 内核电压 V CORE V 输入电压 V IN V DD+0.5 注 : 所有电压都以 V SS 为参考 电流特性 参数 符号 测试条件 最小值 典型值 最大值 单位 流入 V DD 的总电流 I VDD 流出 V SS 的总电流 I VSS 管脚注入电流 I INJ V IN>V DD 或 V IN<V SS -4-4 V O>V DD 或 V O<V SS -4-4 ma 总注入电流 ΣI INJ 热特性 参数 符号 测试条件 最小值 典型值 最大值 单位 环境温度 T A 存储温度 T STG C 结温 T J TSSOP 热阻 θ JA LQFP-48-7* C /W LQFP-64-10* 总功耗 P D mw 注 : 热阻和封装形式 PCB 板设计 产品工作环境风速 产品工作功率都有关系 推荐工作条件 参数 符号 测试条件 最小值 典型值 最大值 单位 工作电压 V DD V CPU 时钟频率 F CPU V DD=2.7~5.5V,MClk=PLL=70MHz MHz 共 29 页第 21 页

22 直流电气参数 除非特别指明, 否则典型值是在 V DD =5.0V,T A =25 C 条件的测试结果 除非特别指明, 否则最大值和最小值都是在常温下 (T A =25 C) 下的测试结果 电流特性 测量电流特性时遵循下列条件 : * 所有 IO 都设置成输出低电平, 无负载 * 除非特别指明, 所有外设都关闭 表 1: 供电特性参数表 符号 参数 测试条件 最小值 典型值 最大值 单位 工作电压 V DD MClk= RCH=15MHz V MClk =PLL=70MHz V 工作电流考虑高低 温测试 I DD RCH 提供系统时钟 MClk=15MHz V DD= CRYH 提供系统时钟 MClk=15MHz V DD= ma RCH+PLL MClk=70MHz V DD= RCL 提供系统时钟 MClk=F RCL V DD= ua 提示 : 某个时钟工作时, 其它时钟源关闭 HSPLL 除外, 因为它依赖 RCH 或 CRYH 输入 RCH 提供系统时钟 MClk=15MHz V DD= 待机电流 I IDLE CRYH 提供系统时钟, 增益最小 MClk=15MHz V DD= RCH+PLL MClk=70MHz V DD= ma RCL 提供系统时钟 MClk=F RCL V DD= 停机电流 I STOP LVR 关闭 V DD= ua 注 : 典型值为抽样测试结果, 不在生产中测试 表 2: 模块工作电流 参数 符号 测试条件 最小值 典型值 最大值 单位 RCL 工作电流 * I RCL -- TBD -- ua RCH 工作电流 * I RCH -- TBD -- ua CRYH(1M) 模块工作电流 * I CRYH 增益最小 -- TBD -- ma CRYH(15M) 模块工作电流 * I CRYH 增益最大 -- TBD -- ma LVD 工作电流 * I LVD -- TBD -- ua LVR 工作电流 * I LVR -- TBD -- ua HSPLL 模块工作电流 * I PLL1 135MHz -- TBD -- ma ADC 工作电流 * I ADC 24M 工作时钟一直转换测试 -- TBD -- ma DAC 工作电流 * I OPA 单个模块开启 -- TBD -- ma CMP 工作电流 * I CMP1 单个比较器开启 -- TBD -- ua 注 : 后缀加 * 标记的参数指仿真特征值, 未经测试 共 29 页第 22 页

23 IO 特性 表 3:IO 特性参数表 参数 符号 测试条件 最小值 典型值 最大值 单位 典型值工作条件为 V DD=5.0V, 温度 =25 C; 全温度工作条件 :-40~105 C 高电平输入电压 V IH 所有 IO 0.7V DD -- V DD V 低电平输入电压 V IL 所有 IO V DD V V DD=5.0V 时的驱动情况 输出管脚拉电流 I OH V OH=0.9V DD 输出管脚灌电流 I OL V OL=0.1V DD 所有 IO DS= 所有 IO DS= 所有 IO DS= 所有 IO DS= ma ma 端口内置上拉电阻 Rpu V IN=0V 所有 IO k 端口输入漏泄电流 I IL 高阻输入电源或 地 所有 IO ua 有效输入脉宽 T PW(IO) NRST,5.0V ms 提示 : 对应管脚输入信号最小脉冲宽度小于该参数时则可能无法检出 系统监控与复位特性 表 4: 系统监控与复位特性参数表 参数 符号 测试条件 最小值 典型值 最大值 单位 典型值工作条件为 V DD=5.0V, 温度 =25 C; 全温度工作条件 :-40~105 C 上电复位重新激活电压 V PORR V VDD 上升速率 S VDD 确保能够产生内部上电复位信号 V/ms LDO 输出电压 V MVR V 低压复位电压 V LVR LVRS= LVRS= V LVRS= LVRS= LVR 释放迟滞电压 V HYS(LVR) mv LVD 检测电压 V LVD L VDS = V 共 29 页第 23 页

24 参数符号测试条件最小值典型值最大值单位 L VDS = L VDS = L VDS = L VDS = L VDS = L VDS = L VDS = LVD 释放迟滞电压 V HYS(LVD) V DD V DD< mv 上电复位延时时间 T PWRT LVR 复位延时时间 T DLVR ms STOP 唤醒退出时间 T STOP us 注 : 后缀加 * 标记的参数指仿真特征值, 未经测试 振荡与时钟特性 表 5: 振荡与时钟特性参数表 参数 符号 测试条件 最小值 典型值 最大值 单位 典型值工作条件为 V DD=5.0V, 温度 =25 C; 全温度工作条件 :-40~105 C 经过校准的内部高频 RC 振荡频率 F RCH RCH 启动时间 T RCHSTR * 2.7~5.5V, -10~65 C 15 MHz 2.2~5.5V, -40~105 C us 内部低频 RC 振荡频率 F RCL RCL 启动时间 T RCLSTR * 5V, -40~85 C KHz 2.0~5.5V, -40~105 C us RCL 稳定延时计数周期 T DRCL Cycles CRYH 启动时间 T CRHHST 15MHz ms 高振稳定延时计数周期 T DCRYH 可通过软件设置 Cyc CRYH 振荡频率范围 F CRYH 2.7V<V DD MHz HSPLL 参考时钟频率范围 F PLLREF 2.7~5.5V MHz HSPLL 输出频率范围 F PLL 输入时钟源 4MHz MHz HSPLL 锁定时间 T PLLLOCK 2.7~5.5V, -40~85 C us 注 : 后缀加 * 标记的参数指仿真特征值, 未经测试 RAM 最低保持电压 表 6:RAM 数据保持电压 参数 符号 测试条件 最小值 典型值 最大值 单位 典型值工作条件为 V DD=5.0V, 温度 =25 C; 全温度工作条件 :-40~105 C 共 29 页第 24 页

25 参数 符号 测试条件 最小值 典型值 最大值 单位 RAM 保持电压 V DR 40 C < TA < +105 C V 模拟比较器特性 表 7: 模拟比较器电气特性 参数 符号 测试条件 最小值 典型值 最大值 单位 典型值工作条件为 VDD=5.0V, 温度 =25 C; 全温度工作条件 :-40~105 C HYSEL=00,5V 中心点 2.5V 比较器迟滞电压 V HYS HYSEL=01,5V 中心点 2.5V HYSEL=10,5V 中心点 2.5V mv HYSEL=11,5V 中心点 2.5V 响应时间 Trt Overdrive 电压 ±0.1V ns 注 : 后缀加 * 标记的参数指仿真特征值, 未经测试 数模转换器特性 表 8:DAC 特性 参数 符号 测试条件 最小值 典型值 最大值 单位 典型值工作条件为 V DD=5.0V, 温度 =25 C; 全温度工作条件 :-40~105 C 微分非线性 DNL LSB 积分非线性 INL LSB DAC 偏置误差 mv DAC 增益误差 mv 稳定时间 us 电容负载 pf 电阻负载 kω 模数转换器特性 表 9:ADC 特性 参数 符号 测试条件 最小值 典型值 最大值 单位 典型值工作条件为 V DD=5.0V, 温度 =25 C; 全温度工作条件 :-40~105 C ADC 工作电压范围 V DDAD Fadclk=24MHz V 输入模拟电压范围 V ADIN V DDAD V 模拟通道阻抗 R ADIN kω 输入源阻抗 R AS Fadclk=24MHz kω ADC 时钟频率 Fadclk MHz 转换时间 Tconv Cyc 内置温度传感器电压 Vts 1.01 V 内置温度传感器电压温漂 Vtsslope 3.2 mv/ C 微分非线性 DNL ±4 LSB 共 29 页第 25 页

26 参数 符号 测试条件 最小值 典型值 最大值 单位 典型值工作条件为 V DD=5.0V, 温度 =25 C; 全温度工作条件 :-40~105 C 积分非线性 INL ±4 LSB 失调误差 Ezs TBD LSB 增益误差 Efs TBD LSB 整体未矫正误差 E TUE TBD LSB 分辨率 NR Bit 共 29 页第 26 页

27 封装外形图 LQFP 单位 : 毫米 0.6± ± ±0.2 LQFP 单位 : 毫米 14.00± ± ± ± ± ± ± ±0.05 共 29 页第 27 页

28 封装外形图 ( 续 ) LQFP 单位 : 毫米 16.00± ± ± ± ± ± ~0.18 注意! 静电敏感器件操作 ESDS 产品应采取防护措施 MOS 电路操作注意事项 : 静电在很多地方都会产生, 采取下面的预防措施, 可以有效防止 MOS 电路由于受静电放电影响而引起的损坏 : 操作人员要通过防静电腕带接地 设备外壳必须接地 装配过程中使用的工具必须接地 必须采用导体包装或抗静电材料包装或运输 声明 : 士兰保留说明书的更改权, 恕不另行通知! 客户在下单前应获取最新版本资料, 并验证相关信息是否完整和最新 任何半导体产品特定条件下都有一定的失效或发生故障的可能, 买方有责任在使用 Silan 产品进行系统设计和整机制造时遵守安全标准并采取安全措施, 以避免潜在失败风险可能造成人身伤害或财产损失情况的发生! 产品提升永无止境, 我公司将竭诚为客户提供更优秀的产品! 共 29 页第 28 页

29 产品名称 : SC32F58128(256) 文档类型 : 说明书 版权 : 杭州士兰微电子股份有限公司公司主页 : 版本 : 1.0 修改记录 : 1. 正式版本发布 共 29 页第 29 页

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

TONE RINGER

TONE RINGER 4A 700V N 沟道增强型场效应管 描述 SVF4N70F N 沟道增强型高压功率 MOS 场效应晶体管采用士兰微电子 F-Cell TM 平面高压 VDMOS 工艺技术制造 先进的工艺及条状的原胞设计结构使得该产品具有较低的导通电阻 优越的开关性能及很高的雪崩击穿耐量 该产品可广泛应用于 AC-DC 开关电源,DC-DC 电源转换器, 高压 H 桥 PWM 马达驱动 特点 4A,700V,R

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1 1A LDO 稳压器电路 概述 SA1117 是一款正电压输出的低压降三端线性稳压电路, 在 1A 输出电流下的压降为 1.2V SA1117 分为两个版本, 固定电压输出版本和可调电压输出版本 固定输出电压 1.5V 1.8V 2.5V 3.3V 5.0V 和可调版本的电压精度为 1%; 固定电压为 1.2V 的产品输出电压精度为 2% SA1117 内部集成过热保护和限流电路, 适用于各类电子产品

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

TONE RINGER

TONE RINGER MP3 播放器芯片 描述 SC95870 为一款极具成本优势的带有 USBHost 功能的 Mp3 解码播放 SOC 芯片, 支持从多种存储介质播放 MP3, 包括 USB,SD/MMC 卡, 芯片内置立体声 DAC,LDO 和 PLL 可以有效地降低整机成本 SC95870 SDK 提供了丰富的 API 命令集, 使第三方的开发更加方便 可广泛应用于家庭媒体播放系统以及汽车电子等系统中 LQFP-64-10x10-0.5

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

FM3318产品手册

FM3318产品手册 FM33A0xx 低功耗 MCU 芯片 简单 2017. 10 FM33A0xx 低功耗 MCU 芯片 版本 3.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

FM3318产品手册

FM3318产品手册 FM3316/3313/3312 低功耗 MCU 芯片 简单 2017. 09 FM3316/3313/3312 低功耗 MCU 芯片 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分 带显示驱动 I C 和按键的单差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,9 条指令,6 级堆栈, 在.08MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为 7.6uA, 休眠模式电流小于 ua 6k Byts OTP 程序存储器,5

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

TONE RINGER

TONE RINGER 带有 USB,SD 卡接口的 MP3 解码器 描述 SC95880 是集成 MP3 解码的 SOC 电路, 可直接解析存储在 USB 盘和 SD 卡的文件系统, 自动识别和解码相应介质上的文件并且播放 通过内置 MCU, 对用户开发提供了完善的支持 主要特点 集成 MP3 解码器, 支持 ISO/IEC 11172-3/13818-3 L1/2/3 和 MPEG-2.5 解码功能, 支持 VBR,

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

MCU产品规格书

MCU产品规格书 2A 600V N 沟道增强型场效应管 描述 60CN/NF/M/MJ/F/D N 沟道增强型高压功率 MOS 场效应晶体管采用士兰微电子的 F-Cell TM 平面高压 VDMOS 工艺技术制造 先进的工艺及条状的原胞设计结构使得该产品具有较低的导通电阻 优越的开关性能及很高的雪崩击穿耐量 该产品可广泛应用于 AC-DC 开关电源,DC-DC 电源转换器, 高压 H 桥 PWM 马达驱动 2 3.

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

MCU产品规格书

MCU产品规格书 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 描述 SD05M50DL/DLS 是高度集成 高可靠性的 3 相无刷直流电机驱动电路, 主要应用于较低功率电机驱动, 如风扇电机 其内置了 6 个快恢复 MOSFET 和 3 个半桥 HVIC 栅极驱动电路 SD05M50DL/DLS 内部集成了欠压保护电路, 提供了优异的保护和故障安全操作 由于每一相都有一个独立的负直流端, 其电流可以分别单独检测

More information

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 为什么要发布 STM32F100 超值型 客户更容易在成本敏感的应用上使用 STM32 一些应用案例 STM32 帮你解决平台问题 太阳能逆变 负载控制 集中器 面临的挑战 : 1. 合适的平台 2. 扩展性和移植性 3. 便于维护 4. 可靠 单相表 归功于 STM32 F100 高性价比, 在单相表开始使用 国网中标

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

Microsoft Word - CSU8RP3119移动电源方案.doc

Microsoft Word - CSU8RP3119移动电源方案.doc 文件编码 :APN-CSU-P-3119-001 单芯片移动电源方案手册 REV 1.0.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 7 页 版本历史 历史版本. 修改内容 版本日期

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

PowerPoint Presentation

PowerPoint Presentation DALI 介绍 Digital Addressable Lighting Interface Mar 2017 DALI DALI: 数字地址化照明接口 (Digital Addressable Lighting Interface) 2 System structure example 3 DALI 2 the Standard IEC-62386 命令 DALI 协议定义双字节指令集, 指令分为标准指令和专用指令两大类

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

FM33A0xxB简单技术手册

FM33A0xxB简单技术手册 FM33A0xxB 低功耗 MCU 芯片 简单 2018. 06 FM33A0xxB 低功耗 MCU 芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40 MOSFET 60º/300º 120º/240º 10 30 6.25 3 MOSFET 60º/300º 120º/240º MOSFET M -40 +85 C SOP24 P -40 +85 C DIP24!" #$% : 5 10 1-702, :210037 (TEL.): (86)-25-8562 8245 8562 8265 (FAX): (86)-25-8562 8352 1 /

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

霍尔开关集成电路

霍尔开关集成电路 概述 是一款内置霍尔感应及输出单线圈驱动于一体的集成电路, 具有锁机保护和自启动功能, 广泛应用于各类大 小型单相直流马达 内置斩波放大器可以动态调整输入失调电压, 大大提高了磁场灵敏度 高灵敏度的霍尔感应块可以使其用于微型 CPU 冷却风扇以及各类鼓风机和直流风扇 它的工作电压范围宽, 持续工作电流达 300mA 特点 内置霍尔感应块及输出单线圈驱动 工作电压范围宽 :3.2V~18V 输出能力强

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information