0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages

Size: px
Start display at page:

Download "0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages"

Transcription

1 TECH-NOW 产品规格书 产品简介 文件版本 01 发布日期 文件

2 RTL00 WIFI 模块外观 文件

3 免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可, 不管是明示许可还是暗示许可 WIFI 联盟成员标志归 WIFI 联盟所有 文中提到的所有商标名称 商标和注册商标均属其各自所有者的财产, 特此声明

4 目录 1. 产品概述 特点 主要参数 接口定义 外型与尺寸 功能描述 MCU 存储描述 内置 SRAM 与 ROM SPI Flash 晶振 最大额定值 建议工作环境 数字端口特征 RF 参数 功耗 倾斜升温 模块安装注意事项 参考电路图...16

5 1. 产品概述 瑞昱智能互联平台瑞昱 8710 拥有高性能无线 SOC, 给移动平台设计师带来福, 它以最低成本 提供最大实用性, 为 WiFi 功能嵌入其他系统提供无限可能 图 1 瑞昱 8710 结构图 瑞昱 8710 是一个完整且自成体系的 WiFi 网络解决方案, 能够独立运行, 也可以作为从机搭载于其 他主机 MCU 运行 瑞昱 8710 在搭载应用并作为设备中唯 的应 处理器时, 能够直接从外接闪存中启 动 内置的高速缓冲存储器有利于提 系统性能, 并减少内存需求 另外 种情况是, 瑞昱 8710 负责无线上网接入承担 WiFi 适配器的任务时, 可以将其添加到任何 基于微控制器的设计中, 连接简单易行, 只需通过 SPI /SDIO 接口或 I2C/UART 口即可

6 瑞昱 8710 强大的片上处理和存储能, 使其可通过 GPIO 口集成传感器及其他应用的特定设备, 实现了最低前期的开发和运行中最少地占用系统资源 瑞昱 8710 高度片内集成, 包括天线开关 balun 电源管理转换器, 因此仅需极少的外部电路, 且包括前端模组在内的整个解决 案在设计时将所占 PCB 空间降到最低 瑞昱 8710 的系统表现出来的领先特征有 : 节能在睡眠 / 唤醒模式之间的快速切换 配合低功率操作的自适应无线电偏置 前端信号的处理功能 故障排除和无线电系统共存特性为消除蜂窝 / 蓝牙 /DDR/LVDS/LCD 干扰 1.1 特点 b/g/n,cmos MAC, 物理层基带 内置低功耗 32 位 CPU: 可以兼作应用处理器 内置 TCP/IP 协议栈 内置 TR 开关 balun LNA 功率放大器和匹配网络 内置 PLL 稳压器和电源管理组件 A-MPDU A-MSDU 的聚合和 0.4 s 的保护间隔 2.4 GHz, 支持 WPA/WPA2 安全模式 支持 STA/AP/STA+AP 工作模式 支持 Smart Config 功能 ( 包括 Android 和 ios 设备 ) SPI UART I2C I2S GPIO 2 ms 之内唤醒 连接并传递数据包 b 模式下 + 17 dbm 的输出功率 待机状态消耗功率小于 1.0 mw (DTIM3) 工作温度范围 :

7 1.2 主要参数 表 1 介绍了该模组的主要参数 表 1 参数表 类别参数说明 无线参数 Hardware Paramaters 无线标准 b/g/n 频率范围 2.4GHz-2.5GHz (2400M M) CPU ARM Cortex M3 (83MHz) ROM/RAM/Flash 1MB / 512KB /1MB SPI 最大支持 2 个 UART 2 个高速串口,1 个低速串口 I2S 支持 4/8/16/32/64/86/44.1/88.2KHZ I2C 最大支持 3 个 GPIO 最大支持 17 个 工作电压 3.0~3.6V( 建议 3.3V) 工作温度 -20 ~85 存储温度 常温 封装大小 24mm*16mm*0.8mm 无线网络模式 station/softap/softap+station g 最大为 54Mbps 数据吞吐量 n 大为 150bps Software Parameters 加密方式安全机制加密类型升级固件软件开发网络协议用户配置 MD5/SHA/HMAC-SHA WPA/WPA2 WEP/TKIP/AES 本地串 烧录 / 云端升级 / 主机下载烧录支持客户自定义服务器 TCP/UDP/HTTP/FTP AT+ 指令集, 云端服务器, Android/iOS APP

8 2. 接口定义 详见表 2 是接口定义说明 图 2 RTL00 管脚图

9 注意 : 默认的命名规则为 GC2 意为 GPIOC 的第 2 个管脚 : 表 2 RTL00 管脚功能定义 序号 Pin 脚名称 功能说明 1 GC2 UART0_RTS,SPI0_MOSI,I2S1_SD_TX,PCM1_OUT,PWM2,ETE2 2 GC5 I2C1_SCL,SPI0_CS2,GPIO_INT 3 CHIP_EN Enable chip 1: enable chip; 0: shutdown chip 4 GE4 JTAG_CLK,SPIO0_CS1 5 GE1 JTAG_TDI,UART0_RTS,I2C2_SDA,SPI0_CLK,PCM0_CLK,PWM1,GPIO_INT 6 GE3 JATG_TMS,UART0_IN,I2C3_SDA,SPI0_MISO,PCM0_IN,PWM3,WKDT3,GPIO_INT 7 GE2 JATG_TDO,UART0_CTS,I2C3_SCL,SPI0_MOSI,PCM_OUT,PWM2, GPIO_INT,WKDT3 8 VDD33 3.3V 9 GA2 SD_CMD,UART2_RTS,SPI1_CLK 10 GC4 I2C1_SDA,SPI0_CS1,I2S1_SD_RX, GPIO_INT 11 GA5 SD_D1,WKDT0 12 GA1 SD_D3,UART2_CTS,SPI1_MOSI,GPIO_INT

10 13 GA0 SD_D2,UART2_IN,SPI1_MISO,GPIO_INT 14 GA3 SD_CLK 15 GND GND 16 GE0 JTAG_TRST,UART0_OUT,I2C2_SCL,SPI0_CS0,PCM0_SYNC,PWM0 17 GA4 SD_D0,UART2_OUT,SPI1_CS 18 GC0 UART0_IN,SPI0_CS0,I2S1_WS,PCM1_SYNC,PWM0,ETE0 19 GC3 UART0_OUT,SPI0_MISO,I2S1_MCK,PCM1_IN,PWM3,ETE3,GPIO_INT 20 GC1 UART0_CTS,SPI0_CLK,I2S1_CLK,PCM1_CLK,PWM1,ETE1, GPIO_INT 21 GB1 UART_LOG_IN,ETE1,WKDT0 22 GB0 UART_LOG_OUT,ETE0 3. 外型与尺寸 RTL00 贴片式模组的外观尺寸为 24mm*16mm *3mm( 如图 3 所示 ) 模组使用的是 3 DBi 的 PCB 板载天线 图 3 RTL00 模组外观

11 图 4 RTL00 模组尺寸平 面图 表 5 RTL00 模组尺寸对照表 长 宽 高 PAD 尺寸 ( 底部 ) Pin 脚间距 24mm 16mm 3 mm 0.9 mm x 1.0 mm 2.0mm 4. 功能描述 4.1. MCU 瑞昱 rtl8710af 是一个低功耗单芯片 它集成了一个 ARM Cortex M3 制器等于一体 它还提供了一些可配置的 GPIO 等外设 MCU n 无线网络控 4.2. 存储描述 内置 SRAM 与 ROM 瑞昱 8710 芯片自身内置了存储控制器, 包含 ROM 和 SRAM MCU 可以通过 ibus dbus 和 AHB 接口访问存储控制器 这些接口都可以访问 ROM 或 RAM 单元, 存储仲裁器以到达顺序确定运

12 行顺序 基于目前我司 Demo SDK 的使用 SRAM 情况, 用户可用剩余 SRAM 空间为 : RAM size > 48kB SPI Flash 目前该模组内部集成的是 1MB 的 SPI Flash, 不支持外部 SPI Flash 4.3. 晶振目前晶体 40M,26M 及 24M 均支持, 使用时请注意在下载工具中选择对应晶体类型 晶振输入输出所加的对地调节电容 C1 C2 可不设为固定值, 该值范围在 6pF-22pF, 具体值需要通过对系统测试后进行调节确定 基于目前市场中主流晶振的情况, 一般 40Mhz 晶振的输入输出所加电容 C1 C2 在 10pF 以内 ; 一般 40MHz 晶振的输入输出所加电容 10pF<C1 C2<22pF 选用的晶振自身精度需在 ±10PPM 晶振的 工作温度为 -20 C - 85 C 晶振位置尽量靠近芯片的 XTAL Pins ( 走线不要太长 ), 同时晶振走线须用地包起来良好屏蔽 晶振的输入输出走线不能打孔走线, 即不能跨层 晶振的输入输出走线不能交叉, 跨层交叉也不行 晶振的输入输出的 bypass 电容请靠近芯片左右侧摆放, 尽量不要放在走线上 晶振下方 4 层都不能走高频数字信号, 最佳情况是晶振下方不走任何信号线, 晶振 TOP 面的铺通区域越大越好 晶振为敏感器件, 晶振周围不能有磁感应器件, 比如大电感等 4.4. 最大额定值 表 7 最 大额定值 额定值 条件 值 单位 存储温度 -40 to 125 最大焊接温度 260 供电压 IPC/JEDEC J-STD to +3.6 V 4.5. 建议工作环境 表 8 建议工作环境 工作环境名称最小值典型值最大值单位

13 工作温度 供电电压 VDD V 4.6. 数字端口特征 表 9 数字端口特征 端 典型值 最小值 典型值 最 值 单位 输入逻辑电平低 VIL VDD V 输入逻辑电平 VIH 0.75VDD VDD+0.3 V 输出逻辑电平低 VOL N 0.1VDD V 输出逻辑电平 VOH 0.8VDD N V 注意 : 如无特殊说明, 测试条件为 :VDD = 3.3 V, 温度为 RF 参数 参数 典型值 单位 输入频率 MHz 输入电阻 50 Ω b >17 dbm 输出功率 g >15 dbm n(HT20) >14 dbm n(HT40) >14 dbm 11M -76 dbm 接收灵敏度 54M -65 dbm 65M(HT20) -64 dbm 150M(HT40) -61 dbm

14 6. 功耗 表 10 RF 参数 下列功耗数据是基于 3.3V 的电源 25 C 的周围温度, 并使用内部稳压器测得 [1] 所有测量均在没有 SAW 滤波器的情况下, 于天线接口处完成 [2] 所有发射数据是基于 90% 的占空比, 在持续发射的模式下测得的 表 11 功耗 模式最小值典型值最大值单位 传送 b, CCK 11Mbps, POUT=+17dBm 87 ma 传送 g, OFDM 54Mbps, POUT=+15dBm 180 ma 传送 n(HT20), MCS7, POUT =+14dBm 168 ma 传送 n(HT40), MCS7, POUT =+14dBm 148 ma 接收 b, 包长 1024 字节, -76dBm 68 ma 接收 g, 包长 1024 字节, -65dBm 68 ma 接收 n, 包长 1024 字节, -64dBm 68 ma Modem-Sleep1 15 ma Light-Sleep2 0.9 ma Deep-Sleep3 10 ua 正常待机 30 ma

15 注 1:Modem-Sleep 于需要 CPU 一直处于工作状态如 PWM 或 I2S 应 等 在保持 WiFi 连接时, 如果没有数据传输, 可根据 标准 ( 如 U-APSD), 关闭 WiFi Modem 电路来省电 例如, 在 DTIM3 时, 每 sleep 300mS, 醒来 3mS 接收 AP 的 Beacon 包等, 则整体平均电流约 15mA 注 2:Light-Sleep 用于 CPU 可暂停的应用, 如 WiFi 开关 在保持 WiFi 连接时, 如果没有数据传输, 可根据 标准 ( 如 U-APSD), 关闭 WiFi Modem 电路并暂停 CPU 来省电 例如, 在 DTIM3 时, 每 sleep 300 ms, 醒来 3ms 接收 AP 的 Beacon 包等, 则整体平均电流约 0.9 ma 注 3:Deep-Sleep 不需一直保持 WiFi 连接, 很长时间才发送一次数据包的应用, 如每 100 秒测量 次温度的传感器 例如, 每 300 s 醒来后需 0.3s - 1s 连上 AP 发送数据, 则整体平均电流可远 于 1 ma 7. 倾斜升温 表 12 倾斜升温 倾斜升温 T S 最 值 -T L 最大值 3 / 秒 预热 最小温度值 (T S Min.) 典型温度值 (T S Typ.) 最 温度值 (T S Max.) 时间 (T S ) 倾斜升温 (T L to T P ) 持续时间 / 温度 (T L )/ 时间 (T L ) 温度峰值 (T P ) ~180 秒最大值 3 / 秒 217 /60~150 秒最高温度值 260, 持续 10 秒

16 目标温度峰值 (T P 目标值 ) /-5 实际峰值 (t P ) 5 持续时间 倾斜降温 从 25 调至温度峰值所需时间 (t) 20~40 秒 最大值 6 / 秒 最 8 分钟 8. 模块安装注意事项 天线的辐射空间非常重要, 环境的好坏会影响传输距离, 金属或其他的一些例如马达, 摄像头, 喇叭之类的元器件会直接影响天线的性能, 相当于对天线加了一层屏蔽 RTL00 采用板载 PCB 天线, 对模块周边环境有要求 建议如下 : 见图 5, 天线周边 5-10mm 之内不要放置影响天线的元器件 ; 见图 6, 天线下方 3-5mm 之内不要放置影响天线的元器件, 若有铺地需做净空处理 ; 模块下方尽量不要放置元件及高频信号走线

17 正面图图 5 侧面图图 6

18 9. 参考电路图

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages ESP-01S WiFi 模块 规格书 版本 1.0 2016 年 6 月 19 日 免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使

More information

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages ESP-05 WiFi 模块 规格书 版本 1.0 2015 年 8 月 23 日 免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使许可,

More information

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages ESP-11 WiFi 模块 规格书 版本 1.0 2015 年 8 月 23 日 免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使许可,

More information

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.4.pages

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.4.pages ESP-WROOM-02 WiFi Module Version 0.4 Espressif Systems IOT Team http://bbs.espressif.com/ Copyright 2015 免责申明和版权公告 本 文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另 行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适 用于特定 用途或 非侵权性的任何担

More information

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages ESP-12F WiFi 模块 规格书版本 1.0 2015 年 8 月 23 日免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕丌另行通知 文档 按现状 提供, 丌负任何担保责任, 包括对适销性 适用于特定用途戒非侵权性的任何担保, 和任何提案 规格戒样品在他处提到的任何担保 本文档丌负任何责任, 包括使用本文档内信息产生的侵犯任何与利权行为的责任 本文档在此未以禁止反言戒其他方式授予任何知识产权使

More information

<453A5CCEC4B5B55C C41CBAAB2F5D4C6BDD3BFDACAB9D3C3CBB5C3F756312E305F E646F63>

<453A5CCEC4B5B55C C41CBAAB2F5D4C6BDD3BFDACAB9D3C3CBB5C3F756312E305F E646F63> 霜蝉云 接口使用说明 版本 :V1.00 上海霜蝉信息科技有限公司 Shanghai Scicala Information Technology Co.,Ltd http:www.scicala.com E-mail:support@scicala.com 1 目录目录... 2 一 产品概述...3 二 接口功能...3 三 调用规范...3 四 接口方法...4 1. 用户订阅 Subscribe

More information



 M230-A1 产品使用手册 V1.4 易通星云 ( 北京 ) 科技发展有限公司官网地址 :www.kaifakuai.com 官网 QQ 群 :445880047 微信公众号 : 开发快之家 文档修改记录 版本号 发布日期 描述 作者 1.4 20160908 加入产品概述 cuiqiheng 1.5 20161116 加入 OTA 升级描述 cuiqiheng 1 ET-iLink 系统概述 1.1

More information

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档 WT8266-S1 极致 / 开放 / 小巧 / 易用 规格书 版本 2.4 2016 年 1 月 22 日 免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

Nodemcu-32s WIFI 模组 V1 Nodemcu-32s 规格书 版本 V1 版权 2019 Copyright 2019 Shenzhen Ai-Thinker Technology Co., Ltd All Rights Reserved

Nodemcu-32s WIFI 模组 V1 Nodemcu-32s 规格书 版本 V1 版权 2019 Copyright 2019 Shenzhen Ai-Thinker Technology Co., Ltd All Rights Reserved Nodemcu-32s 规格书 版本 V1 版权 2019 免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使

More information

ESP-M1/M2数据手册

ESP-M1/M2数据手册 产品手册 ESP-M1/M2 深圳四博智联科技有限公司 版本 V1.1 2017 年 3 月 18 日编号 :DM0013CN 特点 SOC 特性 内置 Tensilica L106 超低功耗 32 位微处理器, 主频支持 80MHz 和 160MHz, 支持 RTOS 内置 TCP/IP 协议栈 内置 1 路 10 bit 精度 ADC 外设接口 HSPI UART I2C I2S IR Remote

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

乐鑫智能互联平台:ESP8266

乐鑫智能互联平台:ESP8266 1 Page 乐鑫信息科技 2013 年 12 月 09 日 免 责 申 明 和 版 权 公 告 本 文 中 的 信 息, 包 括 供 参 考 的 URL 地 址, 如 有 变 更, 恕 不 另 行 通 知 文 档 按 现 状 提 供, 不 负 任 何 担 保 责 任, 包 括 对 适 销 性 适 用 于 特 定 用 途 或 非 侵 权 性 的 任 何 担 保, 和 任 何 提 案 规 格 或 样

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

ESP-WROOM-02D_ESP-WROOM-02U_Datasheet_CN.pages

ESP-WROOM-02D_ESP-WROOM-02U_Datasheet_CN.pages ESP-WROOM-02D/02U 技术规格书 包括 : ESP-WROOM-02D ESP-WROOM-02U 版本.2 乐鑫信息科技 版权所有 208 www.espressif.com 关于本 手册 本 文介绍了了 ESP-WROOM-02D 和 ESP-WROOM-02U 的产品规格 发布说明 日期 版本 发布说明 207. V.0 首次发布 208.03 V. 更更新 ESP-WROOM-02U

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

0C-ESP-WROOM-02_Datasheet_CN.pages

0C-ESP-WROOM-02_Datasheet_CN.pages ESP-WROOM-02 技术规格书 版本 2.9 乐鑫信息科技 版权所有 2018 www.espressif.com 关于本 手册 本 文介绍了了 ESP-WROOM-02 的产品规格 发布说明 日期版本发布说明 2015.12 V0.5 首次发布 2016.01 V0.6 更更新 3.2.2 节 2016.02 V0.7 增加附录 声明 ; 更更新第 1 章 2016.04 V0.8 修订 Flash

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

0A-ESP8266__Datasheet__CN_v4.3.pages

0A-ESP8266__Datasheet__CN_v4.3.pages ESP8266EX Datasheet Version 4.3 Espressif Systems IOT Team http://bbs.espressif.com/ Copyright 2015 免责申明和版权公告 本 文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另 行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适 用于特定 用途或 非侵权性的任何担 保, 和任何提案

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

岳西职教中心

岳西职教中心 省 级 示 范 中 等 职 业 学 校 复 评 申 报 材 料 岳 西 县 职 业 技 术 教 育 中 心 二 00 九 年 九 月 目 录 一 关 于 要 求 对 岳 西 县 职 教 中 心 申 报 省 级 示 范 中 等 职 业 学 校 工 作 进 行 复 评 的 报 告 二 关 于 成 立 岳 西 县 争 创 省 级 示 范 中 等 职 业 学 校 领 导 小 组 的 通 知 三 关 于 成

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日

RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日 RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日 1. 产品描述...3 1.1. 简介...3 1.2. 产品特点...3 1.3. 标准支持...3 1.4. 外设接口...4 1.5. 应用领域...4 2. 系统框图...5 2.1. 模组架构框图 ( 方案一 )...5 2.2. 模组架构框图 ( 方案二 )...6 3.

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在

版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在 GL2000/GL2100 产品规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

引 言 手 机 游 戏 已 成 为 移 动 互 联 网 最 早 一 批 形 成 成 熟 商 业 模 式 的 产 业, 特 别 是 在 开 源 性 和 开 放 性 都 相 对 更 高 的 Android 系 统 平 台 下, 游 戏 款 数 用 户 数 市 场 收 入 逐 年 激 增, 据 2012

引 言 手 机 游 戏 已 成 为 移 动 互 联 网 最 早 一 批 形 成 成 熟 商 业 模 式 的 产 业, 特 别 是 在 开 源 性 和 开 放 性 都 相 对 更 高 的 Android 系 统 平 台 下, 游 戏 款 数 用 户 数 市 场 收 入 逐 年 激 增, 据 2012 Android 手 机 游 戏 安 全 状 况 报 告 (2013.3) 360 安 全 中 心 引 言 手 机 游 戏 已 成 为 移 动 互 联 网 最 早 一 批 形 成 成 熟 商 业 模 式 的 产 业, 特 别 是 在 开 源 性 和 开 放 性 都 相 对 更 高 的 Android 系 统 平 台 下, 游 戏 款 数 用 户 数 市 场 收 入 逐 年 激 增, 据 2012 年

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

BT&BLE_Coexistence_Demo__CN.pages

BT&BLE_Coexistence_Demo__CN.pages ESP32 BT&BLE 双模 蓝 牙共存说明 版本 1.0 版权 2018 关于本 手册 本 文档为 ESP32 BT&BLE 双模蓝 牙共存说明 发布说明 日期版本发布说明 V1.0 首次发布 文档变更更通知 用户可通过乐鑫官 网订阅技术 文档变更更的电 子邮件通知 证书下载 用户可通过乐鑫官 网下载产品证书 目录 1. BT&BLE 共存结构图... 1 2. 流程说明... 2 2.1. 初始化流程...

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

2

2 1 2 CONTENTS 14 December 2012目 次 http://www.zte.com.cn/magazine 热 讯 早 点 03 我 型 我 秀 梦 想 女 神 05 中 兴 Grand Era U985 白 色 版 三 防 硬 汉 05 中 兴 G882 英 俊 小 生 05 中 兴 V790 5 寸 的 盛 宴 06 中 兴 N8300/ 中 兴 V8300 名 门 管 家

More information

Microsoft Word - 清华大学_成品_1.doc

Microsoft Word - 清华大学_成品_1.doc 长 春 理 工 大 学 POCT 临 床 检 验 仪 器 POCT 461 POCT 20 1 POCT 2 3 10 l 4 20 5 2min 6 20cm 15cm 10cm POCT 1343 食 品 安 全 快 速 检 测 系 列 产 品 2013 2014 1344 生 物 发 光 检 测 仪 产 品 (1) 多 参 数 农 药 残 留 检 测 仪 : 吸 光 度 范 围 :0.00~3.00Abs;

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

0523内页正稿-改风格

0523内页正稿-改风格 1100MP 8,999 Dell Axim X50/X50v 300-500 4 Dell 2300MP Dell X50 416MHz 3,599 10-11 Dell E153FP 2 3 4-5 6-7 100 8-9 8-9 10-11 12 Oracle on Dell 13 5 14-15 1400 21001 15 800 x 600 2.2 1.677M 32 E232 1:1.2

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

海尔笔记本主板南京海尔HAIER笔记本维修点海尔T68 W66笔记本开机不显

海尔笔记本主板南京海尔HAIER笔记本维修点海尔T68 W66笔记本开机不显 海 尔 笔 记 本 主 板 南 京 海 尔 HAIER 笔 记 本 维 修 点 海 尔 T68 开 机 不 显 W66 笔 www.hwztp.com http://www.hwztp.com 海 尔 笔 记 本 主 板 南 京 海 尔 HAIER 笔 记 本 维 修 点 海 尔 T68 W66 笔 记 本 开 机 不 显 QQ 在 线 咨 询 南 京 联 系 人 : 郭 先 生 : 电 话 :025-

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER Leica IC90 E 4 5 6 10 Leica IC90 E 12 13 Leica IC90 E: 14 16 18 USB 20 HDMI 22 SD 24 25 () 27 28 29 CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMERA ( ) 34 SETUP USER 35 SETUP ETHERNET

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC 1.1 General Description The SYD8821 is a low power and high performance 2.4GHz Bluetooth Low Energy SoC. SYD8821 integrates all Bluetooth smart devices

More information

LE-BT-01 BLE Module

LE-BT-01 BLE Module Version 1.0 Leconiot BLE Team http://www.leconiot.com/ 目录 目录... 2 1. 产品概述... 4 1.1. 特点... 5 1.2. 应用... 7 1.3. 主要参数... 9 2. 外型与尺寸... 10 2.1. 封装尺寸... 11 3. 接口定义... 11 4. 电气特性... 13 4.1. 接口说明... 13 4.2. 调试...

More information

sl sl

sl sl SL SL 21 2006 SL 21 90 2006-09-09 2006-10-01 1 2004 9 sl21-90 90 90 90 90 20 90 4 6 3 sl21-90 2 3 1 1 2 2 2.1... 2 2.2... 2 2.3... 3 2.4...3 3 5 3.1... 5 3.2... 5 4 7 4.1... 7 4.2... 7 4.3... 7 4.4...

More information

11N 无线宽带路由器

11N 无线宽带路由器 http://www.tenda.com.cn 1... 1 1.1... 1 1.2... 1 2... 3 2.1... 3 2.2... 3 2.3... 5 2.4... 6 2.5... 7 2.6... 9 2.6.1 无线基本设置... 9 2.6.2 无线加密设置... 9 2.7... 10 3... 18 3.1... 18 3.2... 19 3.2.1 ADSL 拨号上网设置...

More information

GMC G系列表价_FY1516_0314.xlsx

GMC G系列表价_FY1516_0314.xlsx SINAMICS G0 变频器 kw 额定输出电流 A 1AC 200-20V 版本 Version 模拟量 0.12 0.9 USS 模拟量 ( 带平板散热器 ) A USS ( 带平板散热器 ) 模拟量 0.25 1.7 USS 模拟量 ( 带平板散热器 ) A USS ( 带平板散热器 ) 模拟量 0.7 2. USS 模拟量 ( 带平板散热器 ) A USS ( 带平板散热器 ) 模拟量.2

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

科展報告

科展報告 中 華 民 國 第 55 屆 中 小 學 科 學 展 覽 會 作 品 說 明 書 國 小 組 物 理 科 手 機 電 磁 波 有 多 強 - 手 機 電 磁 波 強 度 之 探 討 學 校 名 稱 : 嘉 義 縣 竹 崎 鄉 龍 山 國 民 小 學 作 者 : 指 導 老 師 : 小 五 張 凱 傑 邱 煌 仁 小 五 陳 俊 宏 關 鍵 詞 : 電 磁 波 手 機 編 號 : 手 機 電 磁 波

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Wireless DAC_UM-TC_ indd

Wireless DAC_UM-TC_ indd NuForce Optoma NuForce WDC200 WDC200 Wireless DAC User s Manual ALWDCNZZ NuForce WDC200 FCC 15 B (Class B) 1 NuForce WDC200... 3... 3... 3...3...3...4...4...4... 5...6 AP...6 (STA )...9 Wi-Fi Protected

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

状 态, 规 划 车 辆 行 驶 路 径, 可 进 入 网 站 充 电 服 务 栏 目 (2) 查 询 位 置 联 系 方 式 营 业 时 间 等 信 息, 可 进 入 网 站 服 务 指 南 栏 目 建 议 您 出 行 前 通 过 易 充 电 互 动 网 站 提 前 了 解 所 经 高 速 快 充

状 态, 规 划 车 辆 行 驶 路 径, 可 进 入 网 站 充 电 服 务 栏 目 (2) 查 询 位 置 联 系 方 式 营 业 时 间 等 信 息, 可 进 入 网 站 服 务 指 南 栏 目 建 议 您 出 行 前 通 过 易 充 电 互 动 网 站 提 前 了 解 所 经 高 速 快 充 国 家 电 网 高 速 公 路 快 充 网 络 服 务 指 南 (2016 版 ) 一 高 速 公 路 快 充 服 务 覆 盖 区 域 ( 一 ) 充 电 站 分 布 目 前 已 开 通 : 京 沪 高 速 ( 北 京 - 上 海 ) 京 台 高 速 ( 山 东 济 南 到 福 建 福 州 段 ) 京 港 澳 高 速 ( 北 京 到 湖 南 衡 阳 段 ) 沈 海 高 速 ( 山 东 烟 台 到 福

More information

30.00% 25.00% 25.00% 22.50% 20.00% 15.00% 12.50% 15.00% 12.50% 10.00% 7.50% 5.00% 2.50% 2.50% 0.00% 文 学 理 学 工 学 法 学 教 育 学 管 理 学 历 史 学 艺 术 学 ( 三 ) 学 生

30.00% 25.00% 25.00% 22.50% 20.00% 15.00% 12.50% 15.00% 12.50% 10.00% 7.50% 5.00% 2.50% 2.50% 0.00% 文 学 理 学 工 学 法 学 教 育 学 管 理 学 历 史 学 艺 术 学 ( 三 ) 学 生 四 川 文 理 学 院 2014 年 本 科 教 学 质 量 报 告 2014 年 来, 在 教 育 主 管 部 门 的 关 怀 指 导 下, 在 学 校 党 政 班 子 的 正 确 领 导 下, 广 大 师 生 员 工 团 结 一 心, 按 照 国 家 中 长 期 教 育 改 革 和 发 展 规 划 纲 要 和 教 育 部 对 办 应 用 型 本 科 的 要 求, 深 入 贯 彻 落 实 学 校

More information

快 速 搜 索 关 键 词 PDF 电 子 文 档 可 以 使 用 查 找 功 能 搜 索 关 键 词 例 如 在 Adobe Reader 中,Windows 用 户 使 用 快 捷 键 Ctrl+F,Mac 用 户 使 用 Command+F 即 可 搜 索 关 键 词 点 击 目 录 转 跳

快 速 搜 索 关 键 词 PDF 电 子 文 档 可 以 使 用 查 找 功 能 搜 索 关 键 词 例 如 在 Adobe Reader 中,Windows 用 户 使 用 快 捷 键 Ctrl+F,Mac 用 户 使 用 Command+F 即 可 搜 索 关 键 词 点 击 目 录 转 跳 MATRICE 600 用 户 手 册 V1.0 2016.04 快 速 搜 索 关 键 词 PDF 电 子 文 档 可 以 使 用 查 找 功 能 搜 索 关 键 词 例 如 在 Adobe Reader 中,Windows 用 户 使 用 快 捷 键 Ctrl+F,Mac 用 户 使 用 Command+F 即 可 搜 索 关 键 词 点 击 目 录 转 跳 用 户 可 以 通 过 目 录 了

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 ROHS 规范 HT647PL 封装 :H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备 各类核磁共振仪器 粒子加速器

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

P1500

P1500 深 圳 华 禹 工 控 科 技 有 限 公 司 P1500 用 户 使 用 手 册 深 圳 华 禹 工 控 科 技 有 限 公 司 编 制 联 系 电 话 邮 件 地 址 更 新 日 期 邝 足 林 15986765262 2850906986@qq.com 2014-11-04 文 件 编 号 20141104-001 版 本 号 / 修 订 号 V1.1 本 文 件 版 权 属 于 本 公 司

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information