0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages

Size: px
Start display at page:

Download "0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages"

Transcription

1 ESP-01S WiFi 模块 规格书 版本 年 6 月 19 日

2 免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使 许可, 不管是明示许可还是暗示许可 Wi-Fi 联盟成员标志归 Wi-Fi 联盟所有 文中提到的所有商标名称 商标和注册商标均属其各自所有者的财产, 特此声明 注意由于产品版本升级或其他原因, 本手册内容有可能变更 保留在没有任何通知或者提示的情况下对本手册的内容进行修改的权利 本手册仅作为使用指导, 尽全力在本手册中提供准确的信息, 但是并不确保手册内容完全没有错误, 本手册中的所有陈述 信息和建议也不构成任何明示或暗示的担保 深圳安信可科技有限公司 1

3 目录 1. 产品概述 特点 主要参数 接口定义 外型与尺寸 功能描述 MCU 存储描述 晶振 接口说明 最大额定值 建议工作环境 数字端口特征 RF 参数 功耗 倾斜升温 原理图 产品试用

4 1. 产品概述 ESP-01S WiFi 模块是由安信可科技开发的, 该模块核心处理器 ESP8266 在较小尺寸封装中集成了业界领先的 Tensilica L106 超低功耗 32 位微型 MCU, 带有 16 位精简模式, 主频支持 80 MHz 和 160 MHz, 支持 RTOS, 集成 Wi-Fi MAC/ BB/RF/PA/LNA, 板载天线 该模块支持标准的 IEEE b/g/n 协议, 完整的 TCP/IP 协议栈 用户可以使用该模块为现有的设备添加联网功能, 也可以构建独立的网络控制器 ESP8266 是高性能无线 SOC, 以最低成本提供最大实用性, 为 WiFi 功能嵌入其他系统提供无限可能 图 1 ESP8266EX 结构图 ESP8266EX 是一个完整且自成体系的 WiFi 网络解决方案, 能够独立运行, 也可以作为从机搭载于其他主机 MCU 运行 ESP8266EX 在搭载应用并作为设备中唯 的应 处理器时, 能够直接从外接闪存中启动 内置的高速缓冲存储器有利于提 系统性能, 并减少内存需求 另外 种情况是,ESP8266EX 负责无线上网接入承担 WiFi 适配器的任务时, 可以将其添加到任何基于微控制器的设计中, 连接简单易, 只需通过 SPI /SDIO 接口或 I2C/UART 口即可 ESP8266EX 强大的片上处理和存储能, 使其可通过 GPIO 口集成传感器及其他应用的特定设备, 实现了最低前期的开发和运行中最少地占用系统资源 ESP8266EX 高度片内集成, 包括天线开关 balun 电源管理转换器, 因此仅需极少的外部电路, 且包括前端模组在内的整个解决方案在设计时将所占 PCB 空间降到最低 2

5 有 ESP8266EX 的系统表现出来的领先特征有 : 节能在睡眠 / 唤醒模式之间的快速切换 配合低功率操作的自适应无线电偏置 前端信号的处理功能 故障排除和无线电系统共存特性为消除蜂窝 / 蓝牙 /DDR/LVDS/LCD 干扰 1.1. 特点 b/g/n 内置 Tensilica L106 超低功耗 32 位微型 MCU, 主频支持 80 MHz 和 160 MHz, 支持 RTOS 内置 10 bit 高精度 ADC 内置 TCP/IP 协议栈 内置 TR 开关 balun LNA 功率放大器和匹配网络 内置 PLL 稳压器和电源管理组件,802.11b 模式下 +20 dbm 的输出功率 A-MPDU A-MSDU 的聚合和 0.4 s 的保护间隔 2.4 GHz, 支持 WPA/WPA2 安全模式 支持 AT 远程升级及云端 OTA 升级 支持 STA/AP/STA+AP 工作模式 支持 Smart Config 功能 ( 包括 Android 和 ios 设备 ) HSPI UART I2C I2S IR Remote Control PWM GPIO 深度睡眠保持电流为 10 ua, 关断电流小于 5 ua 2 ms 之内唤醒 连接并传递数据包 待机状态消耗功率小于 1.0 mw (DTIM3) 工作温度范围 :

6 1.2. 主要参数 表 1 介绍了该模组的主要参数 表 1 参数表 类别参数说明 无线参数 无线标准频率范围数据接 工作电压 b/g/n 2.4GHz-2.5GHz (2400M M) UART/HSPI/I2C/I2S/Ir Remote Contorl GPIO/PWM 3.0~3.6V( 建议 3.3V) 硬件参数 工作电流 平均值 : 80mA 工作温度 -40 ~125 软件参数 存储温度封装大小外部接 无线网络模式安全机制加密类型升级固件软件开发网络协议 常温 14.3mm*24.8mm*3mm N/A station/softap/softap+station WPA/WPA2 WEP/TKIP/AES 本地串口烧录 / 云端升级 / 主机下载烧录支持客户自定义服务器提供 SDK 给客户二次开发 IPv4, TCP/UDP/HTTP/FTP 用户配置 AT+ 指令集, 云端服务器, Android/iOS APP 4

7 2. 接口定义 ESP-01S 共接出 8 个接口, 表 2 是接口定义 图 2 ESP-01S 管脚图 表 2 ESP-01S 管脚功能定义 序号 Pin 脚名称 功能说明 1 GND GND 2 GPIO2 通用 IO, 内部已上拉 3 GPIO0 1) 工作模式选择 : 5

8 悬空 :Flash Boot, 工作模式下拉 :UART DownLoad, 下载模式 4 RXD 串口 0 数据接收端 RXD 5 VCC 3.3V, 模块供电 6 RST 1) 外部复位管脚, 低电平复位 2) 可以悬空或者接外部 MCU 7 CH_PD 芯片使能, 高电平使能, 低电平失能 8 TXD 串口 0 数据发送端 TXD 表 3 引脚模式 模式 GPIO0 GPIO2 UART 下载模式低高 Flash Boot 模式高高 表 4 接收灵敏度 参数最小值典型值最大值单位 输入频率 MHz 输入电阻 50 Ω 输入反射 -10 db 72.2 Mbps 下,PA 的输出功率 dbm 11b 模式下,PA 的输出功率 dbm 灵敏度 DSSS, 1 Mbps -98 dbm CCK, 11 Mbps -91 dbm 6

9 6 Mbps (1/2 BPSK) -93 dbm 54 Mbps (3/4 64-QAM) -75 dbm HT20, MCS7 (65 Mbps, 72.2 Mbps) -72 dbm 邻频抑制 OFDM, 6 Mbps 37 db OFDM, 54 Mbps 21 db HT20, MCS0 37 db HT20, MCS7 20 db 3. 外型与尺寸 ESP-01S 贴片式模组的外观尺寸为 14.8mm*24.8mm*3mm( 如图 3 所示 ) 该模组采用的是容 量为 1MB, 封装为 SOP-210 mil 的 SPI Flash 模组使用的是 3 DBi 的 PCB 板载天线 图 3 ESP-01S 模组外观 7

10 图 4 表 5 ESP-01S 模组尺寸平 面图 ESP-01S 模组尺寸对照表 长 宽 高 PAD 尺寸 ( 底部 ) Pin 脚间距 14.8 mm 24.8mm 3 mm 0.9 mm x 1.7 mm 2.54mm 4. 功能描述 4.1. MCU ESP8266EX 内置 Tensilica L106 超低功耗 32 位微型 MCU, 带有 16 位精简模式, 主频支持 80 MHz 和 160 MHz, 持 RTOS 目前 WiFi 协议栈只 了 20% 的 MIPS, 其他的都可以用来做应用开发 MCU 可通过以下接口和芯片其他部分协同 作 : 1. 连接存储控制器 也可以用来访问外接闪存的编码 RAM/ROM 接口 (ibus) 8

11 2. 同样连接存储控制器的数据 RAM 接口 (dbus) 3. 访问寄存器的 AHB 接口 4.2. 存储描述 内置 SRAM 与 ROM ESP8266EX 芯片 身内置了存储控制器, 包含 ROM 和 SRAM MCU 可以通过 ibus dbus 和 AHB 接口访问存储控制器 这些接口都可以访问 ROM 或 RAM 单元, 存储仲裁器以到达顺序确定运行顺序 基于目前我司 Demo SDK 的使用 SRAM 情况, 用户可用剩余 SRAM 空间为 :RAM size < 36kB(station 模式下, 连上路由后,heap+data 区大致可用 36KB 左右 ) 目前 ESP8266EX 片上没有 programmable ROM, 用户程序存放在 SPI Flash 中 SPI Flash 当前 ESP8266EX 芯片支持使用 SPI 接口的外置 Flash, 理论上最大可支持到 16 MB 的 SPI flash 目前该模组外接的是 1MB 的 SPI Flash 建议 Flash 容量 : 1 MB-16MB 支持的 SPI 模式 : 支持 Standard SPI Dual SPI DIO SPI QIO SPI, 以及 Quad SPI 注意, 在下载固件时需要在下载工具中选择对应模式, 否则下载后程序将无法得到正确的运行 4.3. 晶振 目前晶体 40M,26M 及 24M 均支持, 使用时请注意在下载工具中选择对应晶体类型 晶振输入输出所加的对地调节电容 C1 C2 可不设为固定值, 该值范围在 6pF~22pF, 具体值需要通过对系统测试后进行调节确定 基于目前市场中主流晶振的情况, 般 26Mhz 晶振的输入输出所加电容 C1 C2 在 10pF 以内 ; 般 40MHz 晶振的输入输出所加电容 10pF<C1 C2<22pF 选用的是 26M 晶振自身精度需在 ±10PPM 晶振的工作温度为-20 C- 85 C 晶振位置尽量靠近芯片的 XTAL Pins ( 走线不要太长 ), 同时晶振走线须用地包起来良好屏蔽 晶振的输入输出走线不能打孔走线, 即不能跨层 晶振的输入输出走线不能交叉, 跨层交叉也不行 晶振的输入输出的 bypass 电容请靠近芯片左右侧摆放, 尽量不要放在 线上 晶振下方 4 层都不能走高频数字信号, 最佳情况是晶振下方不走任何信号线, 晶振 TOP 面的铺通区域越大越好 晶振为敏感器件, 晶振周围不能有磁感应器件, 比如大电感等 9

12 4.4. 接口说明 表 6 接口说明 接口名称管脚功能说明 HSPI 接 IO12(MISO), IO13(MOSI), IO14(CLK), IO15(CS) 可外接 4SPI Flash 显示屏和 MCU 等 PWM 接 IO12(R), IO15(G),IO13(B) demo 中提供 4 路 PWM ( 用户可自行扩展至 8 路 ), 可用来控制彩灯, 蜂鸣器, 继电器及电机等 IR 接 ADC 接 IO14(IR_T), IO5(IR_R) TOUT IR Remote Control4 接口由软件实现, 接口使用 NEC 编码及调制解调, 采用 38KHz 的调制载波 可 于检测 VDD3P3 (Pin3,Pin4) 电源电压和 TOUT (Pin6) 的输入电压 ( 二者不可同时使用 ) 可用于传感器等应用 I2C 接 IO14(SCL), IO2(SDA) 可外接传感器及显示屏等 可外接 UART 接口的设备 下载 :U0TXD+U0RXD 或者 GPIO2+U0RXD UART 接 UART0: TXD(U0TXD), RXD(U0RXD), IO15(RTS), IO13(CTS) UART1: IO2(TXD) 通信 (UART0):U0TXD,U0RXD,MTDO(U0RTS), MTCK(U0CTS) Debug: UART1_TXD(GPIO2) 可作为 debug 信息的打印 UART0 在 ESP8266EX 上电默认会输出一些打印信息 对此敏感的应用, 可以使用 UART 的内部引脚交换功能, 在初始化的时候, 将 U0TXD,U0RXD 分别与 U0RTS,U0CTS 交换 硬件上将 MTDO MTCK 连接到对应的外部 MCU 的串 进行通信 I2S 输入 : I2S 接 IO12 (I2SI_DATA) ; IO13 (I2SI_BCK ); 主要 于音频采集 处理和传输 10

13 IO14 (I2SI_WS); 4.5. 最大额定值 I2S 输出 : IO15 (I2SO_BCK ); IO3 (I2SO_DATA); IO2 (I2SO_WS ). 表 7 最 大额定值 额定值条件值单位 存储温度 最大焊接温度 -40 to 建议工作环境 供电压 IPC/JEDEC J-STD to +3.6 V 表 8 建议工作环境 工作环境名称最小值典型值最大值单位 工作温度 供电电压 VDD V 4.7. 数字端口特征 表 9 数字端口特征 端 典型值最小值典型值最大值单位 输入逻辑电平低 VIL VDD V 11

14 输入逻辑电平 VIH 0.75VDD VDD+0.3 V 输出逻辑电平低 VOL N 0.1VDD V 输出逻辑电平 VOH 0.8VDD N V 注意 : 如无特殊说明, 测试条件为 :VDD = 3.3 V, 温度为 RF 参数 表 10 RF 参数 描述 最小值 典型值 最大值 单位 输入频率 MHz 输入阻抗值 50 ohm 输入反射值 -10 db PA 输出功率为 72.2 Mbps dbm 11b 模式下 PA 输出功率 dbm 接收灵敏度 CCK, 1 Mbps -98 dbm CCK, 11 Mbps -91 dbm 6 Mbps (1/2 BPSK) -93 dbm 54 Mbps (3/4 64-QAM) -75 dbm HT20, MCS7 (65 Mbps, 72.2 Mbps) -72 dbm 邻频抑制 OFDM, 6 Mbps 37 db 12

15 OFDM, 54 Mbps 21 db HT20, MCS0 37 db HT20, MCS7 20 db 6. 功耗 下列功耗数据是基于 3.3V 的电源 25 C 的周围温度, 并使用内部稳压器测得 [1] 所有测量均在没有 SAW 滤波器的情况下, 于天线接口处完成 [2] 所有发射数据是基于 90% 的占空比, 在持续发射的模式下测得的 [3] 本模块不支持睡眠自动唤醒功能 表 11 功耗 模式最小值典型值最大值单位 传送 b, CCK 11Mbps, POUT=+17dBm 传送 g, OFDM 54Mbps, POUT =+15dBm 170 ma 140 ma 传送 n, MCS7, POUT =+13dBm 120 ma 接收 b, 包长 1024 字节, -80dBm 50 ma 接收 g, 包长 1024 字节, -70dBm 56 ma 接收 n, 包长 1024 字节, -65dBm 56 ma Modem-Sleep1 15 ma Light-Sleep2 0.9 ma Deep-Sleep3 10 ua 13

16 Power Off 0.5 ua 注 1:Modem-Sleep 于需要 CPU 一直处于工作状态如 PWM 或 I2S 应 等 在保持 WiFi 连接时, 如果没有数据传输, 可根据 标准 ( 如 U-APSD), 关闭 WiFi Modem 电路来省电 例如, 在 DTIM3 时, 每 sleep 300mS, 醒来 3mS 接收 AP 的 Beacon 包等, 则整体平均电流约 15mA 注 2:Light-Sleep 用于 CPU 可暂停的应用, 如 WiFi 开关 在保持 WiFi 连接时, 如果没有数据传输, 可根据 标准 ( 如 U-APSD), 关闭 WiFi Modem 电路并暂停 CPU 来省电 例如, 在 DTIM3 时, 每 sleep 300 ms, 醒来 3ms 接收 AP 的 Beacon 包等, 则整体平均电流约 0.9 ma 注 3:Deep-Sleep 不需一直保持 WiFi 连接, 很长时间才发送一次数据包的应用, 如每 100 秒测量 次温度的传感器 例如, 每 300 s 醒来后需 0.3s - 1s 连上 AP 发送数据, 则整体平均电流可远小于 1mA 7. 倾斜升温 表 12 倾斜升温 倾斜升温 T S 最大值 -T L 最大值 3 / 秒 预热最小温度值 (T S Min.) 典型温度值 (T S Typ.) 最 温度值 (T S Max.) 时间 (T S ) 倾斜升温 (T L to T P ) 持续时间 / 温度 (T L )/ 时间 (T L ) ~180 秒最大值 3 / 秒 217 /60~150 秒 温度峰值 (T P ) 最高温度值 260, 持续 10 秒 目标温度峰值 (T P 目标值 ) /-5 14

17 实际峰值 (t P ) 5 持续时间 20~40 秒 倾斜降温 最大值 6 / 秒 从 25 调至温度峰值所需时间 (t) 最大 8 分钟 8. 原理图 图 5 ESP-01S 原理图 15

18 9. 产品试用 (1) 淘宝店铺 : (2) 微信公众号 (3) 技术支持邮箱 :support@aithinker.com 16

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages ESP-05 WiFi 模块 规格书 版本 1.0 2015 年 8 月 23 日 免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使许可,

More information

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.4.pages

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.4.pages ESP-WROOM-02 WiFi Module Version 0.4 Espressif Systems IOT Team http://bbs.espressif.com/ Copyright 2015 免责申明和版权公告 本 文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另 行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适 用于特定 用途或 非侵权性的任何担

More information

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages TECH-NOW 产品规格书 产品简介 文件版本 01 发布日期 2016-05-16 文件 RTL00 WIFI 模块外观 文件 免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任

More information

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages

0C-ESP8266__WROOM_WiFi_Module_Datasheet__CN_v0.3.pages ESP-12F WiFi 模块 规格书版本 1.0 2015 年 8 月 23 日免责申明和版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕丌另行通知 文档 按现状 提供, 丌负任何担保责任, 包括对适销性 适用于特定用途戒非侵权性的任何担保, 和任何提案 规格戒样品在他处提到的任何担保 本文档丌负任何责任, 包括使用本文档内信息产生的侵犯任何与利权行为的责任 本文档在此未以禁止反言戒其他方式授予任何知识产权使

More information

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档 WT8266-S1 极致 / 开放 / 小巧 / 易用 规格书 版本 2.4 2016 年 1 月 22 日 免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任

More information



 M230-A1 产品使用手册 V1.4 易通星云 ( 北京 ) 科技发展有限公司官网地址 :www.kaifakuai.com 官网 QQ 群 :445880047 微信公众号 : 开发快之家 文档修改记录 版本号 发布日期 描述 作者 1.4 20160908 加入产品概述 cuiqiheng 1.5 20161116 加入 OTA 升级描述 cuiqiheng 1 ET-iLink 系统概述 1.1

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

ESP-WROOM-02D_ESP-WROOM-02U_Datasheet_CN.pages

ESP-WROOM-02D_ESP-WROOM-02U_Datasheet_CN.pages ESP-WROOM-02D/02U 技术规格书 包括 : ESP-WROOM-02D ESP-WROOM-02U 版本.2 乐鑫信息科技 版权所有 208 www.espressif.com 关于本 手册 本 文介绍了了 ESP-WROOM-02D 和 ESP-WROOM-02U 的产品规格 发布说明 日期 版本 发布说明 207. V.0 首次发布 208.03 V. 更更新 ESP-WROOM-02U

More information

ESP-M1/M2数据手册

ESP-M1/M2数据手册 产品手册 ESP-M1/M2 深圳四博智联科技有限公司 版本 V1.1 2017 年 3 月 18 日编号 :DM0013CN 特点 SOC 特性 内置 Tensilica L106 超低功耗 32 位微处理器, 主频支持 80MHz 和 160MHz, 支持 RTOS 内置 TCP/IP 协议栈 内置 1 路 10 bit 精度 ADC 外设接口 HSPI UART I2C I2S IR Remote

More information

0C-ESP-WROOM-02_Datasheet_CN.pages

0C-ESP-WROOM-02_Datasheet_CN.pages ESP-WROOM-02 技术规格书 版本 2.9 乐鑫信息科技 版权所有 2018 www.espressif.com 关于本 手册 本 文介绍了了 ESP-WROOM-02 的产品规格 发布说明 日期版本发布说明 2015.12 V0.5 首次发布 2016.01 V0.6 更更新 3.2.2 节 2016.02 V0.7 增加附录 声明 ; 更更新第 1 章 2016.04 V0.8 修订 Flash

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

乐鑫智能互联平台:ESP8266

乐鑫智能互联平台:ESP8266 1 Page 乐鑫信息科技 2013 年 12 月 09 日 免 责 申 明 和 版 权 公 告 本 文 中 的 信 息, 包 括 供 参 考 的 URL 地 址, 如 有 变 更, 恕 不 另 行 通 知 文 档 按 现 状 提 供, 不 负 任 何 担 保 责 任, 包 括 对 适 销 性 适 用 于 特 定 用 途 或 非 侵 权 性 的 任 何 担 保, 和 任 何 提 案 规 格 或 样

More information

0A-ESP8266__Datasheet__CN_v4.3.pages

0A-ESP8266__Datasheet__CN_v4.3.pages ESP8266EX Datasheet Version 4.3 Espressif Systems IOT Team http://bbs.espressif.com/ Copyright 2015 免责申明和版权公告 本 文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另 行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适 用于特定 用途或 非侵权性的任何担 保, 和任何提案

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在

版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在 GL2000/GL2100 产品规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

ESP-WROOM-32 ESP-WROOM ESP-WROOM-32 5 ESP-WROOM-32 6 ESP V V V V V V.5

ESP-WROOM-32 ESP-WROOM ESP-WROOM-32 5 ESP-WROOM-32 6 ESP V V V V V V.5 ESP-WROOM-32 Espressif Systems 207 3 7 ESP-WROOM-32 ESP-WROOM-32 2 3 4 ESP-WROOM-32 5 ESP-WROOM-32 6 ESP32 206.08 V.0 206. V. 5 206. V.2 206.2 V.3 2. 207.03 V.4 2 3 4.2 4.4 4.6 3 207.03 V.5 2.2 3.2 3.5.

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

LE-BT-01 BLE Module

LE-BT-01 BLE Module Version 1.0 Leconiot BLE Team http://www.leconiot.com/ 目录 目录... 2 1. 产品概述... 4 1.1. 特点... 5 1.2. 应用... 7 1.3. 主要参数... 9 2. 外型与尺寸... 10 2.1. 封装尺寸... 11 3. 接口定义... 11 4. 电气特性... 13 4.1. 接口说明... 13 4.2. 调试...

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Wireless DAC_UM-TC_ indd

Wireless DAC_UM-TC_ indd NuForce Optoma NuForce WDC200 WDC200 Wireless DAC User s Manual ALWDCNZZ NuForce WDC200 FCC 15 B (Class B) 1 NuForce WDC200... 3... 3... 3...3...3...4...4...4... 5...6 AP...6 (STA )...9 Wi-Fi Protected

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

0a-esp8266ex_datasheet_cn

0a-esp8266ex_datasheet_cn ESP8266EX 技术规格书 版本 6.0 乐鑫信息科技 版权所有 2018 关于本 手册 本 手册介绍了了 ESP8266EX 的产品参数 发布说明 日期 版本 发布说明 2015.12 V4.6 更更新第 3 章 2016.02 V4.7 更更新 3.6 和 4.1 节 2016.04 V4.8 更更新第 1 章 2016.08 V4.9 更更新第 1 章 2016.11 V5.0 增加附录

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

ESP32 1 ESP ESP32 5 ESP32 6 ESP32 7 ESP32 8 ESP32 A B V V1.1 MCU CPU PLL V1.2 URL Wi-Fi Wi-Fi Bluetooth SIG 2017

ESP32 1 ESP ESP32 5 ESP32 6 ESP32 7 ESP32 8 ESP32 A B V V1.1 MCU CPU PLL V1.2 URL Wi-Fi Wi-Fi Bluetooth SIG 2017 ESP32 Espressif Systems 2017 3 17 ESP32 1 ESP32 2 3 4 ESP32 5 ESP32 6 ESP32 7 ESP32 8 ESP32 A B 2016.08 V1.0 2017.02 V1.1 MCU CPU PLL 2017.03 V1.2 URL Wi-Fi Wi-Fi Bluetooth SIG 2017 1 1 1.1 1 1.1.1 1 1.1.2

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

0A-ESP8266EX_Datasheet__CN

0A-ESP8266EX_Datasheet__CN ESP8266EX 技术规格表 版本 5.4 版权 2017 关于本 手册 本 手册介绍了了 ESP8266EX 的产品参数, 包含以下章节 章标题内容 第 1 章概述概述 ESP8266EX 的特点 协议 技术参数和应 用 第 2 章管脚定义提供管脚布局和定义 第 3 章 功能描述 描述 ESP8266EX 上的主要的功能模块和协议, 包括 CPU Flash 和存储 时钟 射频 Wi-Fi 和低功耗管理理

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

11N 无线宽带路由器

11N 无线宽带路由器 http://www.tenda.com.cn 1... 1 1.1... 1 1.2... 1 2... 3 2.1... 3 2.2... 3 2.3... 5 2.4... 6 2.5... 7 2.6... 9 2.6.1 无线基本设置... 9 2.6.2 无线加密设置... 9 2.7... 10 3... 18 3.1... 18 3.2... 19 3.2.1 ADSL 拨号上网设置...

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc AirTrack T600 http://www.netac.com.cn Netac Netac AirTrack OnlyDisk Netac Netac Netac http://www.netac.com.cn Netac 800-830-3662 FCC 15 B 1 2 3 4 / FCC 20cm 1 2 3 / / ...1 1.1...1 1.2...1 1.3...1 1.4...3...4

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2.

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2. 产品规格书 串口 WiFi 模块 LCX801 (2.4GHz/150Mbps 802.11 b/g/n) 目录 1. 产品介绍... 1.1 概述... 1.2 特征... 1.3 产品框图... 1.4 外观图... 1.5 特性... 1.6 管脚图... 1.7 电气特性... 2. 硬件设计注意事项... 2.1 应用框图... 2.2 GPIO 介绍... 2.2.1 LED 控制...

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日

RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日 RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日 1. 产品描述...3 1.1. 简介...3 1.2. 产品特点...3 1.3. 标准支持...3 1.4. 外设接口...4 1.5. 应用领域...4 2. 系统框图...5 2.1. 模组架构框图 ( 方案一 )...5 2.2. 模组架构框图 ( 方案二 )...6 3.

More information

2

2 1 2 CONTENTS 14 December 2012目 次 http://www.zte.com.cn/magazine 热 讯 早 点 03 我 型 我 秀 梦 想 女 神 05 中 兴 Grand Era U985 白 色 版 三 防 硬 汉 05 中 兴 G882 英 俊 小 生 05 中 兴 V790 5 寸 的 盛 宴 06 中 兴 N8300/ 中 兴 V8300 名 门 管 家

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 1080p 方 形 网 络 摄 像 机 使 用 手 册 201301 800 A2 安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 勿 将 摄 像 机

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

引 言 手 机 游 戏 已 成 为 移 动 互 联 网 最 早 一 批 形 成 成 熟 商 业 模 式 的 产 业, 特 别 是 在 开 源 性 和 开 放 性 都 相 对 更 高 的 Android 系 统 平 台 下, 游 戏 款 数 用 户 数 市 场 收 入 逐 年 激 增, 据 2012

引 言 手 机 游 戏 已 成 为 移 动 互 联 网 最 早 一 批 形 成 成 熟 商 业 模 式 的 产 业, 特 别 是 在 开 源 性 和 开 放 性 都 相 对 更 高 的 Android 系 统 平 台 下, 游 戏 款 数 用 户 数 市 场 收 入 逐 年 激 增, 据 2012 Android 手 机 游 戏 安 全 状 况 报 告 (2013.3) 360 安 全 中 心 引 言 手 机 游 戏 已 成 为 移 动 互 联 网 最 早 一 批 形 成 成 熟 商 业 模 式 的 产 业, 特 别 是 在 开 源 性 和 开 放 性 都 相 对 更 高 的 Android 系 统 平 台 下, 游 戏 款 数 用 户 数 市 场 收 入 逐 年 激 增, 据 2012 年

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

Data Sheet Wi-Fi Smart Wi-Fi n 3x3:3 MIMO(N 2x2:2) 3G Wi-Fi Mesh ChannelFly IP-67 Wi-Fi ZoneDirector SCG 200 FlexMaster Smart Wi-Fi AP ZoneFlex

Data Sheet Wi-Fi Smart Wi-Fi n 3x3:3 MIMO(N 2x2:2) 3G Wi-Fi Mesh ChannelFly IP-67 Wi-Fi ZoneDirector SCG 200 FlexMaster Smart Wi-Fi AP ZoneFlex Data Sheet Wi-Fi Smart Wi-Fi 802.11n 3x3:3 MIMO(N 2x2:2) 3G Wi-Fi Mesh ChannelFly IP-67 Wi-Fi ZoneDirector SCG 200 FlexMaster Smart Wi-Fi AP ZoneFlex 7782 SCG 200 Wi-Fi BeamFlex+ 6dB 15dB AP 500 SMART

More information