DS_MM32F031xx_Ver2.2.4_n

Size: px
Start display at page:

Download "DS_MM32F031xx_Ver2.2.4_n"

Transcription

1 产品手册 Datasheet MM32F031xx 32 位基于 ARM Cortex M0 核心的微控制器 版本 :2.2.4/n 保留不通知的情况下, 更改相关资料的权利

2 目录 1. 总介 概述 产品特性 规格说明 器件对比 概述 ARM 的 Cortex TM -M0 核心并内嵌闪存和 SRAM 内置闪存存储器 内置 SRAM 嵌套的向量式中断控制器 (NVIC) 外部中断 / 事件控制器 (EXTI) 时钟和启动 自举模式 供电方案 供电监控器 电压调压器 低功耗模式 DMA 定时器和看门狗 通用异步收发器 (UART) I 2 C 总线 串行外设接口 (SPI) 通用输入输出接口 (GPIO) ADC( 模拟 / 数字转换器 ) 串行单线 SWD 调试口 (SW-DP) 引脚定义 存储器映像 电气特性 / 60

3 5.1 测试条件 最小和最大数值 典型数值 典型曲线 负载电容 引脚输入电压 供电方案 电流消耗测量 绝对最大额定值 绝对最大额定值工作条件 通用工作条件 上电和掉电时的工作条件 内嵌复位和电源控制模块特性 供电电流特性 外部时钟源特性 内部时钟源特性 PLL 特性 存储器特性 EMC 特性 绝对最大值 ( 电气敏感性 ) I/O 端口特性 NRST 引脚特性 TIM 定时器特性 通信接口 位 ADC 特性 封装特性 封装 LQFP 封装 LQFP 封装 QFN 封装 QFN / 60

4 6.5 封装 TSSOP 型号命名 修改记录 / 60

5 图片目录 图 1. MM32F031xx 模块框图...16 图 2. 时钟树...17 图 3. MM32F031xx LQFP48 引脚分布...18 图 4. MM32F031xx LQFP32 引脚分布...19 图 5. MM32F031xx QFN32 引脚分布...20 图 6. MM32F031xx QFN28 引脚分布...20 图 7. MM32F031xx TSSOP20 引脚分布...21 图 8. 引脚的负载条件...29 图 9. 引脚输入电压...30 图 10. 供电方案...30 图 11. 电流消耗测量方案...31 图 12. 待机模式下的典型电流消耗在 VDD = 3.3V 时与温度的对比...35 图 13. 外部高速时钟源的交流时序图...38 图 14. 使用 8MHz 晶体的典型应用...39 图 15. 输入输出交流特性定义...46 图 16. 建议的 NRST 引脚保护...46 图 17. I 2 C 总线交流波形和测量电路 (1)...48 图 18. SPI 时序图 从模式和 CPHA = 图 19. SPI 时序图 从模式和 CPHA = 1 (1)...50 图 20. SPI 时序图 主模式 (1)...51 图 21. 使用 ADC 典型的连接图...53 图 22. 供电电源和参考电源去藕线路...53 图 23. LQFP48,48 脚低剖面方形扁平封装图...54 图 24. LQFP32,32 脚低剖面方形扁平封装图...55 图 25. QFN32, 方形扁平无引线封装外形...56 图 26. QFN28, 方形扁平无引线封装外形...57 图 27. TSSOP20, 方形扁平无引线封装外形 / 60

6 表格目录 表 1. MM32F031xx 产品功能和外设配置...10 表 2. 定时器功能比较...13 表 3. MM32F031xx 引脚定义...22 表 4. PA 端口功能复用...24 表 5. PB 端口功能复用...26 表 6. PC/PD 端口功能复用...26 表 7. 存储器映像...27 表 8. 电压特性...31 表 9. 电流特性...32 表 10. 温度特性...32 表 11. 通用工作条件...33 表 12. 上电和掉电时的工作条件...33 表 13. 内嵌复位和电源控制模块特性...34 表 14. 停机和待机模式下的典型和最大电流消耗...35 表 15. 运行模式下的典型电流消耗, 数据处理代码从内部 Flash 中运行...36 表 16. 睡眠模式下的典型电流消耗, 数据处理代码从内部 Flash 中运行...36 表 17. 内置外设的电流消耗...37 表 18. 高速外部用户时钟特性...37 表 19. HSE 8 ~ 24MHz 振荡器特性...38 表 20. HSI 振荡器特性...39 表 21. LSI 振荡器特性...39 表 22. 低功耗模式的唤醒时间...40 表 23. PLL 特性...40 表 24. 闪存存储器特性...41 表 25. 闪存存储器寿命和数据保存期限...41 表 26. EMS 特性...42 表 27. ESD 特性...43 表 28. I/O 静态特性 / 60

7 表 29. 输出电压特性...44 表 30. 输入输出交流特性...45 表 31. NRST 引脚特性...46 表 32. TIMx 特性...47 表 33. I 2 C 接口特性...48 表 34. SPI 特性...49 表 35. ADC 特性...51 表 36. fadc = 15MHz 时的最大 RAIN...52 表 37. ADC 精度 局限的测试条件 / 60

8 1. 总介 1.1 概述 MM32F031xx 使用高性能的 ARM Cortex TM -M0 为内核的 32 位微控制器, 最高工作频率可达 72MHz, 内置高速存储器, 丰富的增强型 I/O 端口和外设连接到外部总线 MM32F031xx 系列包含 1 个 12 位的 ADC 5 个 16 位通用定时器 1 个 32 位通用定时器 1 个高级 PWM 定时器, 还包含标准的通信接口 :2 个 UART 接口 1 个 I 2 C 接口和 2 个 SPI 接口 MM32F031xx 产品系列工作电压为 2.0V ~ 5.5V, 工作温度范围包含 -40 ~ +85 常规型和 -40 ~ +105 扩展型 多种省电工作模式保证低功耗应用的要求 MM32F031xx 产品提供 LQFP48/LQFP32/QFN32/QFN28/TSSOP20 的封装形式 下面给出了该系列产品中所有外设的基本介绍 这些丰富的外设配置, 使得 MM32F031xx 产品微控制器适合于多种应用场合 : 电机驱动和应用控制 医疗和手持设备 PC 游戏外设和 GPS 平台 工业应用 : 可编程控制器 (PLC) 变频器 打印机和扫描仪 警报系统 视频对讲 和暖气通风空调系统等 1.2 产品特性 内核与系统 : - 32 位 ARM Cortex TM -M0 处理器内核最高工作频率可达 72MHz - 单指令周期 32 位硬件乘法器 存储器 - 高达 64K 字节的闪存程序存储器 - 高达 8K 字节的 SRAM - Boot loader 支持片内 Flash UART 在线用户编程 (IAP)/ 在线系统编程 (ISP) 时钟 复位和电源管理 - 2.0V ~ 5.5V 供电 - 上电 / 断电复位 (POR/PDR) 可编程电压监测器(PVD) - 外部 8 ~ 24MHz 高速晶体振荡器 - 内嵌经出厂调校的 48MHz 高速振荡器 - 内嵌 40KHz 低速振荡器 - PLL 支持 CPU 最高运行在 72MHz 低功耗 - 睡眠 停机和待机模式 1 个 12 位模数转换器,1μS 转换时间 ( 多达 10 个输入通道 ) - 转换范围 :0 ~ V DDA 5 通道 DMA 控制器 - 支持的外设 :Timer UART I 2 C SPI 和 ADC 多达 39 个快速 I/O 端口 : - 所有 I/O 口可以映像到 16 个外部中断 ; 8 / 60

9 所有端口均可输入输出 5V 信号 调试模式 - 串行单线调试 (SWD) 多达 9 个定时器 - 1 个 16 位高级定时器 4 通道高级控制定时器, 有 4 通道 PWM 输出, 以及死区生成和紧急停止功能 - 1 个 32 位定时器和 1 个 16 位定时器, 有高达 4 个 IC/OC, 可用于 IR 控制解码 - 2 个 16 位定时器, 有 1 个 IC/OC 和 1 个 OCN, 死区生成, 紧急停止, 调制器门电路用于 IR 控制 - 1 个 16 位定时器, 有 1 个 IC/OC - 2 个看门狗定时器 ( 独立的和窗口型的 ) - 系统时间定时器 :24 位自减型计数器 多达 5 个通信接口 - 2 个 UART 接口 - 1 个 I 2 C 接口 - 2 个 SPI 接口 96 位的芯片唯一 ID(UID) 采用 LQFP48/LQFP32/QFN32/QFN28/TSSOP20 封装 注 : 本文给出了 MM32F031xx 产品的订购信息和器件的机械特性 有关完整的 MM32F031xx 产品的详细信息, 请参考 MM32F031xx 产品数据手册第 2.2 节 有关 Cortex TM -M0 核心的相关信息, 请参考 Cortex TM -M0 技术参考手册 9 / 60

10 2. 规格说明 2.1 器件对比 表 1. MM32F031xx 产品功能和外设配置 MM32F031K4U 产品型号 MM32F031C6T MM32F031K6T MM32F031F4P MM32F031K6U MM32F031G6U 外围接口 MM32F031C8T MM32F031K8T MM32F031F6P MM32F031K8U 闪存 K 字节 32/64 32/64 16/32/ /32 SRAM K 字节 4/8 4/8 4/4/8 4 4 通用目的 (16 bit) 5/4 5/4 5/5/4 5 5/5 定时器 通用目的 (32 bit) 0/1 0/1 0/0/1 0 0 高级控制 1 UART 1/2 1/2 1/1/2 1 1 通讯接口 I 2 C 1/1 1/1 1/1/1 1 1 SPI 1/2 1/1 1/1/1 1 1 GPIO 端口 ( 通道数 ) 位同步 ADC ( 通道数 ) 1 10 channels 1 9 channels CPU 频率 72 MHz 工作电压 2.0V ~ 5.5V 封装 LQFP48 LQFP32 QFN32 QFN28 TSSOP20 10 / 60

11 2.2 概述 ARM 的 Cortex TM -M0 核心并内嵌闪存和 SRAM ARM 的 Cortex TM -M0 处理器是最新一代的嵌入式 ARM 处理器, 它为实现 MCU 的需要提供了低成本的平台 缩减的引脚数目 降低的系统功耗, 同时提供卓越的计算性能和先进的中断系统响应 ARM 的 Cortex TM -M0 是 32 位的 RISC 处理器, 提供额外的代码效率, 在通常 8 和 16 位系统的存储空间上发挥了 ARM 内核的高性能 MM32F031xx 拥有内置的 ARM 核心, 因此它与所有的 ARM 工具和软件兼容 内置闪存存储器最大 64K 字节的内置闪存存储器, 用于存放程序和数据 内置 SRAM 最大 8K 字节的内置 SRAM 嵌套的向量式中断控制器 (NVIC) MM32F031xx 产品内置嵌套的向量式中断控制器, 能够处理多达 68 个可屏蔽中断通道 ( 不包括 16 个 Cortex TM -M0 的中断线 ) 和 16 个可编程优先级 紧耦合的 NVIC 能够达到低延迟的中断响应处理 中断向量入口地址直接进入内核 紧耦合的 NVIC 接口 允许中断的早期处理 处理晚到的较高优先级中断 支持中断尾部链接功能 自动保存处理器状态 中断返回时自动恢复, 无需额外指令开销该模块以最小的中断延迟提供灵活的中断管理功能 外部中断 / 事件控制器 (EXTI) 外部中断 / 事件控制器包含 20 个边沿检测器, 用于产生中断 / 事件请求 每个中断线都可以独立地配置它的触发事件 ( 上升沿或下降沿或双边沿 ), 并能够单独地被屏蔽 ; 有一个挂起寄存器维持所有中断请求的状态 EXTI 可以检测到脉冲宽度小于内部 APB2 的时钟周期 多达 39 个通用 I/O 口连接到 16 个外部中断线 时钟和启动系统时钟的选择是在启动时进行, 复位时内部 48MHz 的振荡器被选为默认的 CPU 时钟, 随后可以选择外部的 具失效监控的 8 ~ 24MHz 时钟 ; 当检测到外部时钟失效时, 它将被隔离, 系统将自动地切换到内部的振荡器, 如果使能了中断, 软件可以接收到相应的中断 同样, 在需要时可以采取对 PLL 时钟完全的中断管理 ( 如当一个间接使用的外部振荡器失效时 ) 多个预分频器用于配置 AHB 的频率 高速 APB(APB2 和 APB1) 区域 AHB 和高速 APB 的最高频率是 72MHz 参考图 2 的时钟驱动框图 11 / 60

12 2.2.7 自举模式在启动时, 通过自举引脚可以选择三种自举模式中的一种 : 从程序闪存存储器自举 从系统存储器自举 从内部 SRAM 自举自举加载程序 (Boot loader) 存放于系统存储器中, 可以通过 UART1 对闪存重新编程 供电方案 V DD = 2.0V ~ 5.5V:V DD 引脚为 I/O 引脚和内部调压器供电 V SSA,V DDA = 2.5V ~ 5.5V: 为复位模块 振荡器和 PLL 的模拟部分提供供电 V DDA 和 V SSA 必须分别连接到 V DD 和 V SS 供电监控器本产品内部集成了上电复位 (POR)/ 掉电复位 (PDR) 电路, 该电路始终处于工作状态, 保证系统在供电超过 1.8V 时工作 ; 当 V DD 低于设定的阈值 (VPOR/PDR) 时, 置器件于复位状态, 而不必使用外部复位电路 器件中还有一个可编程电压监测器 (PVD), 它监视 V DD/V DDA 供电并与阈值 V PVD 比较, 当 V DD 低于或高于阈值 V PVD 时产生中断, 中断处理程序可以发出警告信息或将微控制器转入安全模式 PVD 功能需要通过程序开启 电压调压器调压器将外部电压转成内部数字逻辑工作的电压, 该调压器在复位后始终处于工作状态 低功耗模式 MM32F031xx 产品支持低功耗模式, 可以在要求低功耗 短启动时间和多种唤醒事件之间达到最佳的平衡 睡眠模式在睡眠模式, 只有 CPU 停止, 所有外设处于工作状态并可在发生中断 / 事件时唤醒 CPU 停机模式在保持 SRAM 和寄存器内容不丢失的情况下, 停机模式可以达到最低的电能消耗 在停机模式下, 停止所有内部 1.5V 部分的供电,HSI 的振荡器和 HSE 晶体振荡器被关闭, 调压器可以被置于普通模式或低功耗模式 待机模式待机模式可实现系统的最低功耗 该模式是在 CPU 深睡眠模式时关闭电压调节器 整个 1.5V 供电区域被断电 PLL HSI 和 HSE 振荡器也被断电 SRAM 和寄存器内容丢失 只有备份的寄存器和待机电路维持供电 当一个外部复位 (NRST 引脚 ) IWDG 复位或 WKUP 引脚上的上升沿, 微控制器从待机模式退出 可以通过任一配置成 EXTI 的信号把微控制器从停机模式中唤醒,EXTI 信号可以是 16 个外部 I/O 口之一 PVD 的输出的唤醒信号 12 / 60

13 DMA 灵活的 5 路通用 DMA 可以管理存储器到存储器 设备到存储器和存储器到设备的数据传输 ;DMA 控制器支持环形缓冲区的管理, 避免了控制器传输到达缓冲区结尾时所产生的中断 每个通道都有专门的硬件 DMA 请求逻辑, 同时可以由软件触发每个通道 ; 传输的长度 传输的源地址和目标地址都可以通过软件单独设置 DMA 可以用于主要的外设 : UART I 2 C SPI 通用/ 基本 / 高级控制定时器 TIMx 和 ADC 定时器和看门狗中等容量的 MM32F031xx 产品包含 1 个高级控制定时器 5 个通用定时器, 以及 2 个看门狗定时器和 1 个系统嘀嗒定时器 下表比较了高级控制定时器 通用定时器和基本定时器的功能 : 表 2. 定时器功能比较 定时器 Timer 计数器分辨率计数器类型预分频系数类型递增 递减 1 和 之间的任高级 TIM1 16 位递增 / 递减意整数 递增 递减 TIM2 32 位递增 / 递减递增 递减 TIM3 16 位递增 / 递减通用 TIM14 16 位递增 TIM16, 16 位递增 TIM17 1 和 之间的任意整数 1 和 之间的任意整数 1 和 之间的任意整数 1 和 之间的任意整数 DMA 请求生成 捕获 / 比较通道 互补输出 有 4 有 有 4 无 有 4 无 无 1 无 有 1 有 高级控制定时器 (TIM1) 高级控制定时器 (TIM1) 可以被看成是分配到 6 个通道的三相 PWM 发生器, 它具有带死区插入的互补 PWM 输出, 还可以被当成完整的通用定时器 四个独立的通道可以用于 : 输入捕获 输出比较 产生 PWM( 边缘或中心对齐模式 ) 单脉冲输出配置为 16 位通用定时器时, 它与 TIMx 定时器具有相同的功能 配置为 16 位 PWM 发生器时, 它具有全调制能力 (0 ~ 100%) 在调试模式下, 计数器可以被冻结, 同时 PWM 输出被禁止, 从而切断由这些输出所控制的开关 很多功能都与通用的 TIM 定时器相同, 内部结构也相同, 因此高级控制定时器可以通过定时器链接功能与 TIM 定时器协同操作, 提供同步或事件链接功能 通用定时器 (TIM2/3/14/16/17) MM32F031xx 产品中, 内置了多达 5 个可同步运行的通用定时器 每个定时器都 PWM 输出, 或作为 13 / 60

14 简单时间基准 TIM2/3 MM32F031xx 器件具有两个可同步的 4 通道通用定时器 TIM2 基于一个 32 位自动重载递增 / 递减计数器和一个 16 位预分频 TIM3 基于一个 16 位自动重载递增 / 递减计数器和一个 16 位预分频 它们都具有 4 个独立通道, 用于输入捕获 / 输出比较 PWM 单脉冲模式输出 在最大的封装中, 可提供多达 12 个输入捕捉 / 输出比较 /PWM TIM2 和 TIM3 通用定时器可通过定时器链接功能与 TIM1 高级控制定时器协同工作, 提供同步或事件链接功能 TIM2 和 TIM3 都可生成独立的 DMA 请求 这些定时器能够处理正交 ( 增量 ) 编码器信号, 也能处理 1 到 3 个霍尔效应传感器的数字输出 在调试模式下, 其计数器可被冻结 TIM14 该定时器基于一个 16 位自动重载递增计数器和一个 16 位预分频器 TIM14 具有一个单通道, 用于输入捕获 / 输出比较,PWM 或单脉冲模式输出 在调试模式下, 其计数器可被冻结 TIM16/17 两种定时器基于一个 16 位自动重载递增计数器和一个 16 位预分频器 它们每个都有一个单通道, 用于输入捕获 / 输出比较,PWM 或单脉冲模式输出 TIM16 和 TIM17 有互补输出, 带死区生成和独立 DMA 请求生成功能 在调试模式下, 其计数器可被冻结 独立看门狗独立的看门狗是基于一个 12 位的递减计数器和一个 8 位的预分频器, 它由一个内部独立的 40KHz 的振荡器提供时钟 ; 因为这个振荡器独立于主时钟, 所以它可运行于停机和待机模式 它可以被当成看门狗用于在发生问题时复位整个系统, 或作为一个自由定时器为应用程序提供超时管理 通过选项字节可以配置成是软件或硬件启动看门狗 在调试模式下, 计数器可以被冻结 窗口看门狗窗口看门狗内有一个 7 位的递减计数器, 并可以设置成自由运行 它可以被当成看门狗用于在发生问题时复位整个系统 它由主时钟驱动, 具有早期预警中断功能 ; 在调试模式下, 计数器可以被冻结 系统时基定时器这个定时器是专用于实时操作系统, 也可当成一个标准的递减计数器 它具有下述特性 : 24 位的递减计数器 自动重加载功能 当计数器为 0 时能产生一个可屏蔽系统中断 14 / 60

15 可编程时钟源 通用异步收发器 (UART) UART 接口具有硬件的 CTS 和 RTS 信号管理 所有 UART 接口都可以使用 DMA 操作 I 2 C 总线 1 个 I 2 C 总线接口, 能够工作于多主模式或从模式, 支持标准和快速模式 I 2 C 接口支持 7 位或 10 位寻址,7 位从模式时支持双从地址寻址 串行外设接口 (SPI) 多达 2 个 SPI 接口, 在从或主模式下, 全双工和半双工的通信速率可达 18 兆位 / 秒 3 位的预分频器可产生 8 种主模式频率, 可配置成每帧 8 位或 16 位 所有的 SPI 接口都可以使用 DMA 操作 通用输入输出接口 (GPIO) 每个 GPIO 引脚都可以由软件配置成输出 ( 推挽或开漏 ) 输入( 带或不带上拉或下拉 ) 或复用的外设功能端口 多数 GPIO 引脚都与数字或模拟的复用外设共用 除了具有模拟输入功能的端口, 所有的 GPIO 引脚都有大电流通过能力 在需要的情况下,I/O 引脚的外设功能可以通过一个特定的操作锁定, 以避免意外的写入 I/O 寄存器 在 AHB 上的 I/O 脚可达 18MHz 的翻转速度 ADC( 模拟 / 数字转换器 ) MM32F031xx 产品内嵌 1 个 12 位的模拟 / 数字转换器 (ADC), 可用多达 10 个外部通道, 可以实现单次或扫描转换 在扫描模式下, 自动进行在选定的一组模拟输入上的转换 ADC 可以使用 DMA 操作 模拟看门狗功能允许非常精准地监视一路 多路或所有选中的通道, 当被监视的信号超出预置的阈值时, 将产生中断 由通用定时器 (TIMx) 和高级控制定时器 (TIM1) 产生的事件, 可以分别内部级联到 ADC 的触发, 应用程序能使 AD 转换与时钟同步 串行单线 SWD 调试口 (SW-DP) 内嵌 ARM 的两线串行调试端口 (SW-DP) ARM 的 SW-DP 接口允许通过串行线调试工具连接到单片机 15 / 60

16 图 1. MM32F031xx 模块框图 AHB Flash 接口 Flash CPU System 总线矩阵 AHB SRAM DMA DMA 桥接 1 APB1 桥接 2 APB2 AHB 复位和时钟控制器 (RCC) GPIOA/B/C/D ADC EXTI UART1 SYSCFG SPI1 CPT TIM1 TIM14 TIM16 TIM17 PWR I 2 C UART2 BKP IWDG WWDG TIM3 TIM2 SPI2 DMA 请求 16 / 60

17 图 2. 时钟树 HSI 48 MHz HSI /6 PLLSRC /4 DM DN PLL HSI/6 PLLCLK HSE LSI SW SYSCLK AHB Prescaler /1, /8 APB1 Prescaler /1,2,4,8,16 Clock Enable (3 bits) HCLK to AHB bus, core memory and DMA to Cortex System timer FCLK Cortex Free running clock Peripheral Clock Enable (12 bits) PCLK1 to APB1 peripherals OSC_OUT OSC_IN HSE OSC 8-24 MHz CSS PLLXTPRE /2 If (APB1 Prescaler=1) x 1 else x 2 APB2 Prescaler /1,2,4,8,16 to TIM2,3 Peripheral Clock Enable (2 bits) Peripheral Clock Enable (11 bits) TIMXCLK PCLK2 to APB2 peripherals LSI 40kHz LSI IWDGCLK to Independent Watchdog (IWDG) If (APB2 Prescaler=1) x 1 else x 2 ADC Prescaler /2,4,6,8 TIMXCLK to TIM1,14,16,17 Peripheral Clock Enable (4 bit) ADCCLK to ADC MCO Main Clock Output MCO /2 PLLCLK HSI HSE SYSCLK LSICLK Legend: HSE = high-speed external clock signal HSI = high-speed internal clock signal LSI = low-speed internal clock signal 17 / 60

18 18 / 引脚定义图 3. MM32F031xx LQFP48 引脚分布 PD0-OSC_IN PD1-OSC_OUT PC15 PC VDD VSS PB9 PB8 BOOT0 PB7 PB6 PB5 PB4 PB3 PA15 PA14 PA7 PB0 PB1 PB2 PB10 PB11 VSS VDD PA6 PA5 PA4 PA3 PD3 PD2 PA13 PA12 PA11 PA10 PA9 PA8 PB15 PB14 PB13 PB12 NRST VSSA VDDA PA0 PA1 PA2 PC13 NC LQFP48

19 图 4. MM32F031xx LQFP32 引脚分布 VSS PB8-BOOT0 PB7 PB6 PB5 PB4 PB3 PA15 VDD PA14 PD0-OSC_IN PA13 PD1-OSC_OUT PA12 NRST VDDA PA11 PA10 PA0 PA9 PA1 PA8 PA2 VDD PA3 PA4 PA5 PA6 PA7 PB0 PB1 VSS LQFP / 60

20 图 5. MM32F031xx QFN32 引脚分布 VDD PD0-OSC_IN PD1-OSC_OUT NRST VDDA PA0 PA1 PA PA PB8 PA BOOT0 PB7 PB6 PB5 PB QFN PA5 PA6 PA7 PB0 PB PB3 PB PA PA14 PA13 PA12 PA11 PA10 PA9 PA8 VDD VSS 图 6. MM32F031xx QFN28 引脚分布 PA2 PA3 PA4 PA5 PA6 PB0 PB7 PB6 PB5 PB4 PB3 PA15 PA14 PB8-BOOT0 PA13 PD0-OSC_IN PA10 PD1-OSC_OUT NRST PA9 PA8 VDDA VDD PA0 VSS PA1 PB1 PA QFN / 60

21 图 7. MM32F031xx TSSOP20 引脚分布 PB8-BOOT PA14 PD0-OSC_IN 2 19 PA13 PD1-OSC_OUT 3 18 PA10 NRST 4 17 PA9 VDDA PA0 5 6 TSSOP VDD VSS PA PB1 PA PA7 PA PA6 PA PA5 21 / 60

22 表 3. MM32F031xx 引脚定义 引脚编码 LQFP LQFP QFN QFN TSSOP 引脚名称 类型 (1) I/O 电平 (2) 主功能可选的复用功能附加功能 1 NC S NC 2 PC13 I/O PC13 3 PC14 I/O PC14 4 PC15 I/O PC PD0-OSC_ OSC_ I/O IN IN CRS_SYNC/I 2 C_SDA OSC_IN PD1-OSC_ OSC_ I/O OUT OUT I 2 C_SCL OSC_OUT NRST I/O NRST 8 VSSA S VSSA VDDA S VDDA PA0 I/O PA PA1 I/O PA PA2 I/O PA PA3 I/O PA PA4 I/O PA PA5 I/O PA PA6 I/O PA PA7 I/O PA7 TIM2_CH1_ETR/ UART2_CTS/ADC_IN0 TIM2_CH2/UART2_RT S/ ADC_IN1 TIM2_CH3/ UART2_TX/ ADC_IN2 TIM2_CH4/ UART2_RX/ ADC_IN3 SPI1_NSS/ TIM14_CH1/ ADC_IN4 SPI1_SCK/ TIM2_CH1_ETR/ ADC_IN5 SPI1_MISO/TIM3_CH 1/ TIM16_CH1/TIM1_BKI N/ ADC_IN6 TIM1_CH1N/ SPI1_MOSI/TIM3_CH 2/ TIM14_CH1/TIM17_C H1/ ADC_IN7 WKUP 22 / 60

23 引脚编码 LQFP LQFP QFN QFN TSSOP 引脚名称 类型 (1) I/O 电平 (2) 主功能可选的复用功能附加功能 PB0 I/O PB0 TIM3_CH3/TIM1_CH2 N/ ADC_IN PB1 I/O PB1 TIM3_CH4/ TIM14_CH1/ TIM1_CH3N/ ADC_IN PB2 I/O FT PB2 21 PB10 I/O FT PB10 I 2 C_SCL/TIM2_CH3/ SPI2_SCK 22 PB11 I/O FT PB11 I 2 C_SDA/TIM2_CH VSS S VSS VDD S VDD 25 PB12 I/O FT PB12 SPI2_NSS/SPI2_SCK/ TIM1_BKIN/SPI2_MO SI/SPI2_MISO 26 PB13 I/O FT PB13 SPI2_SCK/SPI2_MISO / TIM1_CH1N/SPI2_NS S/SPI2_MOSI/I 2 C_SC L 27 PB14 I/O FT PB14 SPI2_MISO/SPI2_MO SI/ TIM1_CH2N/SPI2_SC K/SPI2_NSS/I 2 C_SDA 28 PB15 I/O FT PB15 SPI2_MOSI/SPI2_NSS / TIM1_CH3N/SPI2_MIS O/SPI2_SCK PA8 I/O FT PA8 TIM1_CH1/MCO CRS_SYNC PA9 I/O FT PA9 UART1_TX/TIM1_CH2 / UART1_RX/I 2 C_SCL/ MCO PA10 I/O FT PA10 UART1_RX/TIM1_CH3 / UART1_TX/TIM17_BK IN/I 2 C_SDA PA11 I/O FT PA11 UART1_CTS/TIM1_C H4/I 2 C_SCL PA12 I/O FT PA12 UART1_RTS/TIM1_ET R/ I 2 C_SDA 23 / 60

24 LQFP 48 LQFP 32 引脚编码 QFN 32 QFN 28 TSSOP 20 引脚名称类型 (1) I/O 电平 (2) 主功能可选的复用功能附加功能 PA13 I/O FT PA13 SWDIO 35 PD2 I/O FT PD2 36 PD3 I/O FT PD PA14 I/O FT PA14 SWDCLK/UART2_TX PA15 I/O FT PA15 TIM2_CH1_ETR/ SPI1_NSS/UART2_RX PB3 I/O FT PB3 TIM2_CH2/SPI1_SCK PB4 I/O FT PB PB5 I/O FT PB PB6 I/O FT PB PB7 I/O FT PB7 TIM3_CH1/SPI1_MIS O TIM3_CH2/SPI1_MOS I/ TIM16_BKIN UART1_TX/I 2 C_SCL/ TIM16_CH1N UART1_RX/I 2 C_SDA/ TIM17_CH1N BOOT0 I BOOT PB8 I/O FT PB8 I 2 C_SCL/TIM16_CH1 46 PB9 I/O FT PB9 I 2 C_SDA/TIM17_CH1/ SPI2_NSS VSS S VSS VDD S VDD 1. I = 输入,O = 输出,S = 电源, HiZ = 高阻 2. FT = 容忍 5V 表 4. PA 端口功能复用 引脚名 AF0 AF1 AF2 AF3 AF4 AF5 AF6 AF7 PA0 UART2_CTS TIM2_ CH1_ ETR PA1 UART2_RTS TIM2_CH2 PA2 UART2_TX TIM2_CH3 PA3 UART2_RX TIM2_CH4 PA4 SPI1_NSS - TIM14_CH1 PA5 SPI1_SCK - TIM2_ CH1_ETR PA6 SPI1_MISO TIM3_CH1 TIM1_ BKIN TIM16_CH1 PA7 SPI1_MOSI TIM3_CH2 TIM1_ CH1N TIM14_CH1 TIM17_CH1 PA8 MCO TIM1_CH1 CRS_SYNC 24 / 60

25 PA9 UART1_TX TIM1_CH2 UART1_RX I 2 C_SCL MCO PA10 TIM17_ BKIN UART1_RX TIM1_CH3 UART1_TX I 2 C_SDA PA11 UART1_CTS TIM1_CH4 I 2 C_SCL PA12 UART1_RTS TIM1_ETR I 2 C_SDA PA13 SWDIO PA14 SWDCLK UART2_TX PA15 SPI1_NSS UART2_RX TIM2_ CH1_ETR 25 / 60

26 表 5. PB 端口功能复用 引脚名 AF0 AF1 AF2 AF3 AF4 AF5 PB0 TIM3_CH3 TIM1_CH2N PB1 TIM14_CH1 TIM3_CH4 TIM1_CH3N PB2 PB3 SPI1_SCK TIM2_CH2 PB4 SPI1_MISO TIM3_CH1 TIM17_BKIN PB5 SPI1_MOSI TIM3_CH2 TIM16_BKIN PB6 UART1_TX I 2 C_SCL TIM16_CH1N PB7 UART1_RX I 2 C_SDA TIM17_CH1N PB8 I 2 C_SCL TIM16_CH1 PB9 I 2 C_SDA TIM17_CH1 SPI2_NSS PB10 I 2 C_SCL TIM2_CH3 SPI2_SCK PB11 I 2 C_SDA TIM2_CH4 PB12 SPI2_NSS SPI2_SCK TIM1_BKIN SPI2_MOSI SPI2_MISO PB13 SPI2_SCK SPI2_MISO TIM1_CH1N SPI2_NSS SPI2_MOSI I 2 C_SCL PB14 SPI2_MISO SPI2_MOSI TIM1_CH2N SPI2_SCK SPI2_NSS I 2 C_SDA PB15 SPI2_MOSI SPI2_NSS TIM1_CH3N SPI2_MISO SPI2_SCK 表 6. PC/PD 端口功能复用 引脚名 AF0 AF1 AF2 AF3 AF4 AF5 PC13 PC14 PC15 PD0 CRS_SYNC I 2 C_SDA PD1 PD2 PD3 I 2 C_SCL 26 / 60

27 4. 存储器映像 表 7. 存储器映像 总线 编址范围 大小 外设 备注 0x x5FFF FFFF ~ 384 MB Reserved 0x4800 0C00-0x4800 0FFF 1 KB GPIOD 0x x4800 0BFF 1 KB GPIOC 0x x FF 1 KB GPIOB 0x x FF 1 KB GPIOA 0x x47FF FFFF ~ 128 MB Reserved AHB APB2 APB1 0x x FF 1 KB Reserved 0x x4002 5FFF 15 KB Reserved 0x x FF 1 KB Flash 接口 0x x4002 1FFF 3 KB Reserved 0x x FF 1 KB RCC 0x x4002 0FFF 3 KB Reserved 0x x FF 1 KB DMA 0x4001 4C00-0x4001 7FFF 13 KB Reserved 0x x4001 4BFF 1 KB TIM17 0x x FF 1 KB TIM16 0x x FF 1 KB TIM14 0x4001 3C00-0x4001 3FFF 1 KB CPT 0x x4001 3BFF 1 KB UART1 0x x FF 1 KB DBGMCU 0x x FF 1 KB SPI1 0x4001 2C00-0x4001 2FFF 1 KB TIM1 0x x4001 2BFF 1 KB Reserved 0x x FF 1 KB ADC 0x x FF 7 KB Reserved 0x x FF 1 KB EXTI 0x x FF 1 KB SYSCFG 0x x4000 FFFF 35 KB Reserved 0x x FF 1 KB PWR 0x4000 6C00-0x4000 6FFF 1 KB Reserved 0x x4000 6BFF 1 KB Reserved 0x x FF 1 KB Reserved 0x x FF 1 KB Reserved 0x4000 5C00-0x4000 5FFF 1 KB Reserved 0x x4000 5BFF 1 KB Reserved 27 / 60

28 总线 编址范围 大小 外设 备注 0x x FF 1 KB I 2 C 0x x4000 4BFF 3 KB Reserved 0x x FF 1 KB UART2 0x x FF 1 KB Reserved 0x x4000 3BFF 1 KB SPI2 0x x FF 1 KB Reserved 0x x FF 1 KB IWDG 0x4000 2C00-0x4000 2FFF 1 KB WWDG 0x x4000 2BFF 1 KB BKP 0x x4000 0BFF 8 KB Reserved 0x x FF 1 KB TIM3 0x x FF 1 KB TIM2 SRAM 0x x2FFF FFFF ~ 512 MB Reserved 0x x2000 1FFF 8 KB SRAM 0x1FFF F810-0x1FFF FFFF ~2 KB Reserved 0x1FFF F800-0x1FFF F80F 16 B Option bytes 0x1FFF F400-0x1FFF F7FF 1 KB Sysem memory 0x1FFE 1C00-0x1FFF F3FF ~ 256 MB Reserved 0x1FFE x1FFE 1BFF 3 KB Security space Flash 0x1FFE x1FFE 0FFF 3 KB Reserved 0x1FFE x1FFE 01FF 0.5 KB Protect bytes 0x x 1FFDFFFF ~ 256 MB Reserved 0x x0800 FFFF 64 KB Main Flash memory 0x x07FF FFFF ~ 128 MB Reserved 0x x0000 FFFF 64 KB 主闪存存储器, 系统存储器或 是 SRAM, 有赖于 BOOT 的配置 28 / 60

29 5. 电气特性 5.1 测试条件除非特别说明, 所有电压的都以 V SS 为基准 最小和最大数值除非特别说明, 最小和最大数值是在环境温度 T A = 25,V DD = 3.3V 下执行的测试 典型数值除非特别说明, 典型数据是基于 T A = 25 和 V DD = 3.3V 这些数据仅用于设计指导而未经测试 典型曲线除非特别说明, 典型曲线仅用于设计指导而未经测试 负载电容测量引脚参数时的负载条件示于下图 图 8. 引脚的负载条件 C=50pF 29 / 60

30 5.1.5 引脚输入电压 引脚上输入电压的测量方式示于下图 图 9. 引脚输入电压 VIN 供电方案 图 10. 供电方案 VDD VDD 1/2/3 调压器 5x100nF +1x4.7μF 通用 I/O 端口 输出 输入 电平转换 IO 逻辑电路 核心电路 (CPU, 数字电路和存储器 ) VSS 1/2/3 VDDA 10nF +1μF VSSA ADC 模拟电路 ( 振荡器, PLL 等 ) 注 : 上图中的 4.7μF 电容必须连接到 V DD3 30 / 60

31 5.1.7 电流消耗测量 图 11. 电流消耗测量方案 IDD VDD VDDA 5.2 绝对最大额定值加在器件上的载荷如果超过 绝对组最大额定值 列表 ( 表 8 表 9 表 10) 中给出的值, 可能会导致器件永久性地损坏 这里只是给出能承受的最大载荷, 并不意味在此条件下器件的功能性操作无误 器件长期工作在最大值条件下会影响器件的可靠性 表 8. 电压特性 符号描述最小值最大值单位 VDD-VSS 外部主供电电压 ( 包含 VDDA 和 VDD) (1) VIN 在 5V 容忍的引脚上的输入电压 (2) Vss 在其它引脚上的输入电压 (2) Vss V ΔVDDx 不同供电引脚之间的电压差 50 VSSx - VSS 不同接地引脚之间的电压差 50 mv VESD(HBM) ESD 静电放电电压 ( 人体模型 ) 参见 所有的电源 (V DD, V DDA) 和地 (V SS, V SSA) 引脚必须始终连接到外部允许范围内的供电系统上 2.I INJ(PIN) 绝对不可以超过它的极限 ( 见表 9), 即保证 V IN 不超过其最大值 如果不能保证 V IN 不超过其最大值, 也要保证在外部限制 I INJ(PIN) 不超过其最大值 当 V IN > V INmax 时, 有一个正向注入电流 ; 当 V IN < V SS 时, 有一个反向注入电流 31 / 60

32 表 9. 电流特性 符号 描述 最大值 单位 IVDD 经过 VDD/VDDA 电源线的总电流 ( 供应电流 ) (1) 150 IVSS 经过 VSS 地线的总电流 ( 流出电流 ) (1) 150 IIO IINJ(PIN) (2)(3) 任意 I/O 和控制引脚上的输出灌电流 20 任意 I/O 和控制引脚上的输出电流 -18 NRST 引脚的注入电流 ± 5 HSE 的 OSC_IN 引脚的注入电流 ± 5 其他引脚的注入电流 (4) ± 5 ma IINJ(PIN) (2) 所有 I/O 和控制引脚上的总注入电流 (4) ± 所有的电源 (V DD,V DDA) 和地 (V SS,V SSA) 引脚必须始终连接到外部允许范围内的供电系统上 2. I INJ(PIN) 绝对不可以超过它的极限, 即保证 V IN 不超过其最大值 如果不能保证 V IN 不超过其最大值, 也要保证在外部限制 I INJ(PIN) 不超过其最大值 当 V IN > V DD 时, 有一个正向注入电流 ; 当 V IN < V SS 时, 有一个反向注入电流 3. 反向注入电流会干扰器件的模拟性能 参看第 节 4. 当几个 I/O 口同时有注入电流时, I INJ(PIN) 的最大值为正向注入电流与反向注入电流的即时绝对值之和 该结果基于在器件 4 个 I/O 端口上 I INJ(PIN) 最大值的特性 表 10. 温度特性 符号 描述 最大值 单位 TSTG 储存温度范围 - 45 ~ +150 TJ 最大结温度 / 60

33 5.3 绝对最大额定值工作条件 通用工作条件 表 11. 通用工作条件 符号参数条件最小值最大值单位 fhclk 内部 AHB 时钟频率 0 72 fpclk 内部 APB 时钟频率 0 72 MHz VDD 标准工作电压 V VDDA (1) PD TA 模拟部分工作电压 ( 未使用 ADC) 必须与 VDD 相同模拟部分工作电压 ( 使用 ADC) LQFP 功率耗散 (2) 温度 :TA = 85 LQFP QFN 环境温度 :TA = 85 最大功率耗散 低功率耗散 (3) 环境温度 :TA = 105 最大功率耗散 低功率耗散 (3) V mw 1. 建议使用相同的电源为 V DD 和 V DDA 供电, 在上电和正常操作期间,V DD 和 V DDA 之间最多允许有 300mV 的差别 2. 如果 T A 较低, 只要 T J 不超过 T Jmax( 参见第 1 节 ), 则允许更高的 P D 数值 3. 在较低的功率耗散的状态下, 只要 T J 不超过 T Jmax( 参见第 1 节 ),T A 可以扩展到这个范围 上电和掉电时的工作条件下表中给出的参数是在一般的工作条件下测试得出 表 12. 上电和掉电时的工作条件 符号 参数 条件 最小值 最大值 单位 tvdd VDD 上升速率 100 TA = 27 VDD 下降速率 100 μs/v 33 / 60

34 5.3.3 内嵌复位和电源控制模块特性 下表中给出的参数是依据表 11 列出的环境温度下和 V DD 供电电压下测试得出 表 13. 内嵌复位和电源控制模块特性 符号 参数 条件 最小值 典型值 最大值 单位 PLS[3:0]=0000( 上升沿 ) V PLS[3:0]=0000( 下降沿 ) V PLS[3:0]=0001( 上升沿 ) V PLS[3:0]=0001( 下降沿 ) 2.0 V PLS[3:0]=0010( 上升沿 ) V PLS[3:0]=0010( 下降沿 ) V PLS[3:0]=0011( 上升沿 ) V PLS[3:0]=0011( 下降沿 ) V PLS[3:0]=0100( 上升沿 ) V VPVD 可编程的电压 检测器的电平 选择 PLS[3:0]=0100( 下降沿 ) V PLS[3:0]=0101( 上升沿 ) V PLS[3:0]=0101( 下降沿 ) V PLS[3:0]=0110( 上升沿 ) V PLS[3:0]=0110( 下降沿 ) V PLS[3:0]=0111( 上升沿 ) V PLS[3:0]=0111( 下降沿 ) V PLS[3:0]=1000( 上升沿 ) V PLS[3:0]=1000( 下降沿 ) V PLS[3:0]=1001( 上升沿 ) V PLS[3:0]=1001( 下降沿 ) V PLS[3:0]=1010( 上升沿 ) V PLS[3:0]=1010( 下降沿 ) 4.69 V VPVDhyst (2) PVD 迟滞 100 mv VPOR/PDR 上电 / 掉电复 位阈值 下降沿 (1) V 上升沿 V VPDRhyst (2) PDR 迟滞 90.9 mv TRSTTEMPO (2) 复位持续时间 TBD ms 1. 产品的特性由设计保证至最小的数值 V POR/PDR 2. 由设计保证, 不在生产中测试 34 / 60

35 5.3.4 供电电流特性电流消耗是多种参数和因素的综合指标, 这些参数和因素包括工作电压 环境温度 I/O 引脚的负载 产品的软件配置 工作频率 I/O 脚的翻转速率 程序在存储器中的位置以及执行的代码等 电流消耗的测量方法说明, 详见图 11 本节中给出的所有运行模式下的电流消耗测量值, 都是在执行一套精简的代码 最大电流消耗微控制器处于下列条件 : 所有的 I/O 引脚都处于输入模式, 并连接到一个静态电平上 V DD 或 V SS( 无负载 ) 所有的外设都处于关闭状态, 除非特别说明 闪存存储器的访问时间调整到 f HCLK 的频率 (0 ~ 24MHz 时为 0 个等待周期,24 ~ 48MHz 时为 1 个等待周期, 48 ~ 72MHz 时为 2 个等待周期 ) 指令预取功能开启 ( 提示 : 这个参数必须在设置时钟和总线分频之前设置 ) 当开启外设时: f PCLK1 = f HCLK/2,f PCLK2 = f HCLK 表 14. 停机和待机模式下的典型和最大电流消耗 符号参数条件 最大值 (1) TA = 25 单位 IDD 停机模式下的供应电流复位后进入停机模式 200 待机模式下的供应电流复位后进入待机模式 18 μa 1. 由综合评估得出, 不在生产中测试 图 12. 待机模式下的典型电流消耗在 V DD = 3.3V 时与温度的对比 35 / 60

36 典型的电流消耗 MCU 处于下述条件下 : 所有的 I/O 引脚都处于输入模式, 并连接到一个静态电平上 V DD 或 V SS( 无负载 ) 所有的外设都处于关闭状态, 除非特别说明 闪存存储器的访问时间调整到 f HCLK 的频率 (0 ~ 24MHz 时为 0 个等待周期,24 ~ 48MHz 时为 1 个等待周期,48 ~ 72MHz 时为 2 个等待周期 ) 环境温度和 V DD 供电电压条件列于表 11 指令预取功能开启 ( 提示 : 这个参数必须在设置时钟和总线分频之前设置 ) 当开启外设时: f PCLK1 = f HCLK/4,f PCLK2 = f HCLK/2 表 15. 运行模式下的典型电流消耗, 数据处理代码从内部 Flash 中运行 符号参数条件 fhclk 使能所有外设 典型值 (1) 关闭所有外设 单位 IDD 运行模式下的供应电流外部时钟 (2) 72MHz MHz MHz MHz MHz ma 1. 典型值是在 T A = 25 V DD = 3.3V 时测试得到 2. 外部时钟为 8MHz, 当 f HCLK > 8MHz 时启用 PLL 表 16. 睡眠模式下的典型电流消耗, 数据处理代码从内部 Flash 中运行 符号参数条件 fhclk 使能所有外设 典型值 (1) 关闭所有外设 单位 IDD 睡眠模式下的供应电流外部时钟 (2) 72MHz MHz MHz MHz MHz ma 1. 典型值是在 T A = 25 V DD = 3.3V 时测试得到 2. 外部时钟为 8MHz, 当 f HCLK > 8MHz 时启用 PLL 内置外设电流消耗内置外设的电流消耗列于表 17,MCU 的工作条件如下 : 所有的 I/O 引脚都处于输入模式, 并连接到一个静态电平上 V DD 或 V SS( 无负载 ) 所有的外设都处于关闭状态, 除非特别说明 给出的数值是通过测量电流消耗计算得出 - 关闭所有外设的时钟 36 / 60

37 - 只开启一个外设的时钟 环境温度和 V DD 供电电压条件列于表 11 表 17. 内置外设的电流消耗 (1) 内置外设 25 时的典 型功耗 单位 内置外设 25 时的典 型功耗 单位 APB1 APB2 TIM ADC 1.03 TIM APB2 SPI I 2 C 0.99 UART TIM ma GPIOA 0.53 TIM GPIOB 0.53 AHB TIM GPIOC 0.53 TIM GPIOD 0.53 ma 1. f HCLK = 72MHz, f APB1 = f HCLK/2, f APB2 = f HCLK, 每个外设的预分频系数为默认值 外部时钟源特性来自外部振荡源产生的高速外部用户时钟下表中给出的特性参数是使用一个高速的外部时钟源测得, 环境温度和供电电压符合表 11 的条件 表 18. 高速外部用户时钟特性符号参数条件最小值典型值最大值单位 fhse_ext 用户外部时钟频率 (1) MHz VHSEH OSC_IN 输入引脚高电平电压 0.7VDD - VDD VHSEL OSC_IN 输入引脚低电平电压 - VSS - 0.3VDD tw(hse) OSC_IN 高或低的时间 (1) tr(hse) tf(hse) OSC_IN 上升或下降的时间 (1) V ns Cin(HSE) OSC_IN 输入容抗 (1) pf DuCy(HSE) 占空比 % IL OSC_IN 输入漏电流 VSS VIN VDD - - ± 1 ua 1. 由设计保证, 不在生产中测试 37 / 60

38 图 13. 外部高速时钟源的交流时序图 VHSEH 90% 10% VHSEL tr(hse) tf(hse) tw(hse) tw(hse) t THSE 外部时钟源 fhse_ext OSC_IN I L 使用一个晶体 / 陶瓷谐振器产生的高速外部时钟高速外部时钟 (HSE) 可以使用一个 8 ~ 24MHz 的晶体 / 陶瓷谐振器构成的振荡器产生 本节中所给出的信息是基于使用下表中列出的典型外部元器件, 通过综合特性评估得到的结果 在应用中, 谐振器和负载电容必须尽可能地靠近振荡器的引脚, 以减小输出失真和启动时的稳定时间 有关晶体谐振器的详细参数 ( 频率 封装 精度等 ), 请咨询相应的生产厂商 (1)(2) 表 19. HSE 8 ~ 24MHz 振荡器特性 符号 参数 条件 最小值 典型值 最大值 单位 fosc_in 振荡器频率 MHz RF 反馈电阻 1000 kω CL1 CL2 (3) I2 建议的负载电容与对应的晶 体串行阻抗 (RS) (4) HSE 驱动电流 RS = 30Ω 30 pf VDD = 3.3V, VIN = VSS 30pF 负载 1 ma gm 振荡器的跨导启动 25 ma/v tsu(hse) (5) 启动时间 VDD 是稳定的 2 ms 1. 谐振器的特性参数由晶体 / 陶瓷谐振器制造商给出 2. 由综合评估得出, 不在生产中测试 3. 对于 C L1 和 C L2, 建议使用高质量的 为高频应用而设计的 ( 典型值为 )5pF ~ 25pF 之间的瓷介电容器, 并挑选符合要求的晶体或谐振器 通常 C L1 和 C L2 具有相同参数 晶体制造商通常以 C L1 和 C L2 的串行组合给出负载电容的参数 在选择 C L1 和 C L2 时, PCB 和 MCU 引脚的容抗应该考虑在内 ( 可以粗略地把引脚与 PCB 板的电容按 10pF 估计 ) 4. 相对较低的 RF 电阻值, 能够可以为避免在潮湿环境下使用时所产生的问题提供保护, 这种环境下 38 / 60

39 产生的泄漏和偏置条件都发生了变化 但是, 如果 MCU 是应用在恶劣的潮湿条件时, 设计时需要把这个参数考虑进去 5. t SU(HSE) 是启动时间, 是从软件使能 HSE 开始测量, 直至得到稳定的 8MHz 振荡这段时间 这个数值是在一个标准的晶体谐振器上测量得到, 它可能因晶体制造商的不同而变化较大 图 14. 使用 8MHz 晶体的典型应用 集成了电容器的谐振器 CL1 OSC_IN fhse 8MHz 谐振器 RF 增益控制 OSC_OUT CL 内部时钟源特性 下表中给出的特性参数是使用环境温度和供电电压符合表 11 的条件测量得到 高速内部 (HSI) 振荡器 (1)(2) 表 20. HSI 振荡器特性 符号 参数 条件 最小值 典型值 最大值 单位 fhsi 频率 MHz TA = -40 ~ ACCHSI HSI 振荡器的精度 TA = -10 ~ 85 TA = 0 ~ 70 % TA = tsu(hsi) HSI 振荡器启动时间 2 μs IDD(HSI) HSI 振荡器功耗 μa 1. V DD = 3.3V,T A = - 40 ~ 105, 除非特别说明 2. 由设计保证, 不在生产中测试 低速内部 (LSI) 振荡器 (1) 表 21. LSI 振荡器特性 符号 参数 条件 最小值 典型值 最大值 单位 flsi (2) 频率 KHz tsu(lsi) (2) LSI 振荡器启动时间 1 μs IDD(LSI) (3) LSI 振荡器功耗 μa 1. V DD = 3.3V, T A = -40 ~ 105, 除非特别说明 2. 由综合评估得出, 不在生产中测试 39 / 60

40 3. 由设计保证, 不在生产中测试 从低功耗模式唤醒的时间下表列出的唤醒时间是在一个 8MHz 的 HSI 振荡器的唤醒阶段测量得到 唤醒时使用的时钟源依当前的操作模式而定 : 停机或待机模式 : 时钟源是振荡器 睡眠模式 : 时钟源是进入睡眠模式时所使用的时钟所有的时间是使用环境温度和供电电压符合表 11 的条件测量得到 表 22. 低功耗模式的唤醒时间 符号 参数 条件 最大值 单位 twusleep (1) 从睡眠模式唤醒 使用 HSI 振荡器时钟唤醒 4 twustop (1) 从停机模式唤醒 ( 调压器处 于运行模式 ) HSI 振荡器时钟唤醒 = 2μS 8 μs twustdby (1) 从待机模式唤醒 HSI 振荡器时钟唤醒 = 2μS 调压器从关闭模式唤醒时间 = 38μS 唤醒时间的测量是从唤醒事件开始至用户程序读取第一条指令 PLL 特性 下表列出的参数是使用环境温度和供电电压符合表 11 的条件测量得到 表 23. PLL 特性 (1) 符号 参数 数值 最小值典型值最大值 单位 fpll_in PLL 输入时钟 (2) 8 24 MHz PLL 输入时钟占空比 % fpll_out PLL 倍频输出时钟 MHz tlock PLL 锁相时间 100 μs 1. 由设计保证, 不在生产中测试 2. 需要注意使用正确的倍频系数, 从而根据 PLL 输入时钟频率使得 f PLL_OUT 处于允许范围内 40 / 60

41 5.3.8 存储器特性闪存存储器除非特别说明, 所有特性参数是在 T A = - 40 ~ 105 得到 表 24. 闪存存储器特性 符号 参数 条件 最小值 典型值 最大值 (1) 单位 tprog 8 位的编程时间 TA = -40 ~ μs terase 页 (512K 字节 ) 擦除时间 TA = -40 ~ ms tme 整片擦除时间 TA = -40 ~ ms 读模式,fHCLK = 72MHz 5 6 ma IDD 供电电流 写模式, fhclk = 72MHz 7 ma 擦除模式, fhclk = 72MHz 2 ma ISB Standby 电流 1@25 50@105 μa IDEP Deep Standby 电流 0.5@25 15@105 μa Vprog 编程电压 3.3 V 1. 由设计保证, 不在生产中测试 表 25. 闪存存储器寿命和数据保存期限 符号参数条件最小值 (1) 典型值最大值单位 NEND 寿命 ( 译注 : 擦 写次数 ) TA = -40 ~ 85 ( 尾缀为 6) TA = -40 ~ 105 ( 尾缀为 7) 10 千次 TA = 85 时,1000 次擦写 (2) 之后 30 tret 数据保存期限 TA = 105,1000 次擦写 (2) 之后 10 年 TA = 55,1 万次擦写 (2) 之后 由综合评估得出, 不在生产中测试 2. 循环测试均是在整个温度范围下进行 EMC 特性敏感性测试是在产品的综合评估时抽样进行测试的 功能性 EMS( 电磁敏感性 ) 当运行一个简单的应用程序时 ( 通过 I/O 端口闪烁 2 个 LED), 测试样品被施加 2 种电磁干扰直到产生错误,LED 闪烁指示了错误的产生 静电放电 (ESD)( 正放电和负放电 ) 施加到芯片所有的引脚直到产生功能性错误 这个测试符合 IEC 标准 FTB: 在 V DD 和 V SS 上通过一个 100pF 的电容施加一个瞬变电压的脉冲群 ( 正向和反向 ) 直到产生功能性错误 这个测试符合 IEC 标准 41 / 60

42 芯片复位可以使系统恢复正常操作 测试结果列于下表中 这是基于应用笔记中定义的 EMS 级别和类型进行的测试 表 26. EMS 特性 符号参数条件级别 / 类型 VEFT 在 VDD 和 VSS 上通过 100pF 的电容施加的 导致功能错误的瞬变脉冲群电压极限 VDD = 3.3V,TA = +25, fhclk = 72MHz 符合 IEC 设计牢靠的软件以避免噪声的问题在器件级进行 EMC 的评估和优化, 是在典型的应用环境中进行的 应该注意的是, 好的 EMC 性能与用户应用和具体的软件密切相关 因此, 建议用户对软件实行 EMC 优化, 并进行与 EMC 有关的认证测试 软件建议软件的流程中必须包含程序跑飞的控制, 如 : 被破坏的程序计数器 意外的复位 关键数据被破坏 ( 控制寄存器等 ) 认证前的试验很多常见的失效 ( 意外的复位和程序计数器被破坏 ), 可以通过人工地在 NRST 上引入一个低电平或在晶振引脚上引入一个持续 1 秒的低电平而重现 在进行 ESD 测试时, 可以把超出应用要求的电压直接施加在芯片上, 当检测到意外动作的地方, 软件部分需要加强以防止发生不可恢复的错误 绝对最大值 ( 电气敏感性 ) 基于三个不同的测试 (ESD,LU), 使用特定的测量方法, 对芯片进行强度测试以决定它的电气敏感性方面的性能 静电放电 (ESD) 静电放电 ( 一个正的脉冲然后间隔一秒钟后一个负的脉冲 ) 施加到所有样品的所有引脚上, 样品的大小与芯片上供电引脚数目相关 (3 片 x(n+1) 供电引脚 ) 这个测试符合 JESD22-A114/C101 标准 静态栓锁为了评估栓锁性能, 需要在 6 个样品上进行 2 个互补的静态栓锁测试 : 为每个电源引脚, 提供超过极限的供电电压 在每个输入 输出和可配置的 I/O 引脚上注入电流 这个测试符合 EIA/JESD78A 集成电路栓锁标准 42 / 60

43 表 27. ESD 特性 符号参数条件最大值 (1) 单位 VESD(HBM) 静电放电电压 ( 人体模型 ) VESD(CDM) 静电放电电压 ( 充电设备模型 ) ILU 静态栓锁类 (Latch-up current) 1. 由综合评估得出, 不在生产中测试 I/O 端口特性通用输入 / 输出特性 TA = +25, 符合 JESD22-A114 TA = +25, 符合 JESD22-C101 TA = +25, 符合 JESD78A 2000 V ma 除非特别说明, 下表列出的参数是按照表 11 的条件测量得到 所有的 I/O 端口都是兼容 CMOS 和 TTL 表 28. I/O 静态特性 符号参数条件最小值典型值最大值单位 VIL 输入低电平电压 TTL 端口 VIH 输入高电平电压 V VIL 输入低电平电压 CMOS 端口 VIH 输入高电平电压 2.08 V Vhys I/O 脚施密特触发器电压迟滞 (1) mv Ilkg 输入漏电流 (2) 1 μa RPU 弱上拉等效电阻 (3) VIN = VSS RPD 弱下拉等效电阻 (3) VIN = VDD kω CIO I/O 引脚的电容 5 pf 1. 施密特触发器开关电平的迟滞电压 由综合评估得出, 不在生产中测试 2. 如果在相邻引脚有反向电流倒灌, 则漏电流可能高于最大值 3. 上拉和下拉电阻是设计为一个真正的电阻串联一个可开关的 PMOS/NMOS 实现 这个 PMOS/NMOS 开关的电阻很小 ( 约占 10%) 所有 I/O 端口都是 CMOS 和 TTL 兼容 ( 不需软件配置 ), 它们的特性考虑了多数严格的 CMOS 工艺 或 TTL 参数 : 对于 V IH: - 如果 V DD 是介于 [2.50V ~ 3.08V]; 使用 CMOS 特性但包含 TTL - 如果 V DD 是介于 [3.08V ~ 3.60V]; 使用 TTL 特性但包含 CMOS 对于 V IL: - 使用 CMOS 特性但包含 TTL 43 / 60

44 输出驱动电流 GPIO( 通用输入 / 输出端口 ) 可以吸收或输出多达 +/-8mA 电流, 并且吸收 +20mA 电流 ( 不严格的 V OL) 在用户应用中,I/O 脚的数目必须保证驱动电流不能超过 5.2 节给出的绝对最大额定值 : 所有 I/O 端口从 V DD 上获取的电流总和, 加上 MCU 在 V DD 上获取的最大运行电流, 不能超过绝对最大额定值 I VDD( 参见表 9) 所有 I/O 端口吸收并从 V SS 上流出的电流总和, 加上 MCU 在 V SS 上流出的最大运行电流, 不能超过绝对最大额定值 I VSS( 参见表 9) 输出电压除非特别说明, 下表出的参数是使用环境温度和 V DD 供电电压符合表 11 的条件测量得到 所有的 I/O 端口都是兼容 CMOS 和 TTL 的 表 29. 输出电压特性符号参数条件最小值最大值单位 VOL (1) VOH (2) 输出低电平, 当 8 个引脚同时吸收电流输出高电平, 当 8 个引脚同时输出电流 TTL 端口,IIO = +8mA 2.7V < VDD < 3.6V 0.8VDD VOL (1) 输出低电平, 当 8 个引脚同时吸收电流 CMOS 端口,IIO = +8mA VOH (2) 输出高电平, 当 8 个引脚同时输出电流 2.7V < VDD < 3.6V 0.8VDD VOL (1)(3) 输出低电平, 当 8 个引脚同时吸收电流 IIO = +20mA VOH (2)(3) 输出高电平, 当 8 个引脚同时输出电流 2.7V < VDD < 3.6V 0.8VDD VOL (2)(3) 输出低电平, 当 8 个引脚同时吸收电流 IIO = +6mA VOH (2)(3) 输出高电平, 当 8 个引脚同时输出电流 2V < VDD < 2.7V TBD TBD V 1. 芯片吸收的电流 I IO 必须始终遵循表 9 中给出的绝对最大额定值, 同时 I IO 的总和 ( 所有 I/O 脚和控制脚 ) 不能超过 I VSS 2. 芯片输出的电流 I IO 必须始终遵循表 9 中给出的绝对最大额定值, 同时 I IO 的总和 ( 所有 I/O 脚和控制脚 ) 不能超过 I VDD 3. 由综合评估得出, 不在生产中测试 输入输出交流特性输入输出交流特性的定义和数值分别在图 15 和表 30 给出 除非特别说明, 下表列出的参数是使用环境温度和供电电压符合表 11 的条件测量得到 44 / 60

45 表 30. 输入输出交流特性 (1) MODEx [1:0] 的配置 符号参数条件最小值最大值单位 CL = 30pF, VDD = 2.7V ~ 3.6V 50 fmax(io)out 最大频率 (2) CL = 50pF, VDD = 2.7V ~ 3.6V 30 MHz CL = 50pF, VDD = 2V ~ 2.7V 20 CL = 30pF, VDD = 2.7V ~ 3.6V 5 01 (50MHz) tf(io)out 输出高至低电平的下降时间 CL = 50pF, VDD = 2.7V ~ 3.6V 8 CL = 50pF, VDD = 2V ~ 2.7V CL = 30pF, VDD = 2.7V ~ 3.6V 12 5 ns tr(io)out 输出低至高电平的上升时间 CL = 50pF, VDD = 2.7V ~ 3.6V 8 CL = 50pF, VDD = 2V ~ 2.7V (20MHz) 11 (10MHz) fmax(io)out 最大频率 (2) tf(io)out 输出高至低电平的下降时间 CL = 50pF, CL = 50pF, 20 MHz VDD = 2V ~ 3.6V 20 (3) tr(io)out 输出低至高电平的上升时间 VDD = 2V ~ 3.6V 20 (3) fmax(io)out 最大频率 (2) tf(io)out 输出高至低电平的下降时间 CL = 50pF, ns CL = 50pF, 10 MHz VDD = 2V ~ 3.6V 25 (3) tr(io)out 输出低至高电平的上升时间 VDD = 2V ~ 3.6V 25 (3) ns textipw EXTI 控制器检测到外部信 号的脉冲宽度 10 ns 1. I/O 端口的速度可以通过 MODEx[1:0] 配置 参见 MM32F031xx 参考手册中有关 GPIO 端口配置寄存器的说明 2. 最大频率在图 15 中定义 3. 由设计保证, 不在生产中测试 45 / 60

46 图 15. 输入输出交流特性定义 90% 10% 50% 50% 外部输出负载是 50pF tr (IO)out 10% 90% tr (IO)out T 如果 ((tr + tf) 2/3)T, 并且占空比是 (45 ~ 55%) 当负载为 50pF 时, 达到最大的频率 NRST 引脚特性 NRST 引脚输入驱动使用 CMOS 工艺, 它连接了一个不能断开的上拉电阻,R PU( 参见表 31) 除非特别说明, 下表列出的参数是使用环境温度和 V DD 供电电压符合表 11 的条件测量得到 表 31. NRST 引脚特性 符号参数条件最小值典型值最大值单位 VIL(NRST) (1) NRST 输入低电平电压 VIH(NRST) (1) NRST 输入高电平电压 2 VDD V Vhys(NRST) NRST 施密特触发器电压迟滞 0.2VDD mv RPU 弱上拉等效电阻 (2) VIN = VSS 15 kω VF(NRST) (1) NRST 输入滤波脉冲 100 ns VNF(NRST) (1) NRST 输入非滤波脉冲 由设计保证, 不在生产中测试 2. 上拉电阻是设计为一个真正的电阻串联一个可开关的 PMOS 实现 这个 PMOS/NMOS 开关的电阻很小 ( 约占 10%) 图 16. 建议的 NRST 引脚保护 外部复位电路 (1) VDD NRST (2) RPU 滤波器 内部复位 0.1µF 46 / 60

47 1. 复位网络是为了防止寄生复位 2. 用户必须保证 NRST 引脚的电位能够低于表 31 中列出的最大 V IL(NRST) 以下, 否则 MCU 不能得到复位 TIM 定时器特性下表列出的参数由设计保证 有关输入输出复用功能引脚 ( 输出比较 输入捕获 外部时钟 PWM 输出 ) 的特性详情, 参见第 节 表 32. TIMx (1) 特性 符号参数条件最小值最大值单位 tres(tim) 定时器分辨时间 1 ttimxclk ftimxclk = 72MHz 10.4 ns fext CH1 至 CH4 的定时器外部 时钟频率 0 ftimxclk/2 ftimxclk = 72MHz 0 24 MHz ResTIM 定时器分辨率 16 位 tcounter 当选择了内部时钟时,16 位 计数器时钟周期 ttimxclk ftimxclk = 72MHz μs tmax_count 最大可能的计数 x ttimxclk ftimxclk = 72MHz 44.7 S 1. TIMx 是一个通用的名称, 代表 TIM1,2,3,14,16, 通信接口 I 2 C 接口特性除非特别说明, 表 33 列出的参数是使用环境温度,f PCLK1 频率和 V DD 供电电压符合表 11 的条件测量得到 MM32F031xx 的 I 2 C 接口符合标准 I 2 C 通信协议, 但有如下限制 :SDA 和 SCL 不是 真 的引脚, 当配置为开漏输出时, 在引出脚和 V DD 之间的 PMOS 管被关闭, 但仍然存在 I 2 C 接口特性列于表 33, 有关输入输出复用功能引脚 (SDA 和 SCL) 的特性详情, 参见第 节 47 / 60

48 表 33. I 2 C 接口特性 符号 参数 标准 I 2 C (1) 快速 I 2 C (1)(2) 最小值最大值最小值最大值 单位 tw(scll) SCL 时钟低时间 tw(sclh) SCL 时钟高时间 μs tsu(sda) SDA 建立时间 th(sda) SDA 数据保持时间 0 (3) (3) tr(sda) tr(scl) SDA 和 SCL 上升时间 Cb 300 ns tf(sda) tf(scl) SDA 和 SCL 下降时间 th(sta) 开始条件保持时间 tsu(sta) 重复的开始条件建立时间 tsu(sto) 停止条件建立时间 μs tw(sto:sta) 停止条件至开始条件的时间 ( 总线空闲 ) Cb 每条总线的容性负载 pf 1. 由设计保证, 不在生产中测试 2. 为达到标准模式 I 2 C 的最大频率,f PCLK1 必须大于 2MHz 为达到快速模式 I 2 C 的最大频率,f PCLK1 必须大于 4MHz 3. 如果不要求拉长 SCL 信号的低电平时间, 则只需满足开始条件的最大保持时间 图 17. I 2 C 总线交流波形和测量电路 (1) VDD VDD I2C 总线 4.7KΩ 4.7KΩ 100Ω 100Ω SDA SCL 重复的开始条件 开始条件 SDA tsu(sta) 开始条件 tf(sda) tr(sda) tsu(sda) 停止条件 tsu(sta:sto) th(sta) tw(sckl) th(sda) SCL tw(sckh) tr(sck) tf(sck) tsu(sto) 48 / 60

49 1. 测量点设置于 CMOS 电平 :0.3V DD 和 0.7V DD SPI 接口特性除非特别说明, 下表列出的参数是使用环境温度,f PCLKx 频率和 V DD 供电电压符合表 11 的条件测量得到 有关输入输出复用功能引脚 (NSS SCK MOSI MISO) 的特性详情, 参见第 节 表 34. SPI 特性 (1) 符号参数条件最小值最大值单位 fsck1/tc(sck) SPI 时钟频率 主模式 0 36 从模式 0 18 MHz tr(sck) tf(sck) SPI 时钟上升和下降时间负载电容 :C = 30pF 8 tsu(nss) (2) NSS 建立时间从模式 4tPCLK th(nss) (2) NSS 保持时间从模式 73 tw(sckh) (2) tw(sckl) (2) SCK 高和低的时间 主模式,fPCLK = 36MHz, 预分频系数 = tsu(mi) (2) 数据输入建立时间, 主模式 SPI1 1 tsu(si) (2) 数据输入建立时间, 从模式 1 th(mi) (2) 数据输入保持时间, 主模式 SPI1 1 th(si) (2) 数据输入保持时间, 从模式 3 ta(so) (2)(3) 从模式,fPCLK = 36MHz, 0 55 数据输出访问时间预分频系数 = 4 从模式,fPCLK = 24MHz tdis(so) (2)(4) 数据输出禁止时间 从模式 10 4tPCLK ns tv(so) (2)(1) 数据输出有效时间 从模式 ( 使能边沿之后 ) 25 tv(mo) (2)(1) 数据输出有效时间 主模式 ( 使能边沿之后 ) 3 th(so) (2) 从模式 ( 使能边沿之后 ) 25 数据输出保持时间 th(mo) (2) 主模式 ( 使能边沿之后 ) 4 1. 重映射的 SPI1 特性需要进一步确定 2. 由综合评估得出, 不在生产中测试 3. 最小值表示驱动输出的最小时间, 最大值表示正确获得数据的最大时间 4. 最小值表示关闭输出的最小时间, 最大值表示把数据线置于高阻态的最大时间 49 / 60

50 图 18. SPI 时序图 从模式和 CPHA = 0 CPOL = 1 CPHA=0 CPOL = 0 MISO (from master) MOSI (from slave) NSS (to slave) MSBit MSBit LSBit LSBit CAPTURE STROBE 图 19. SPI 时序图 从模式和 CPHA = 1 (1) CPOL = 1 CPHA=1 CPOL = 0 MISO (from master) MOSI (from slave) NSS (to slave) MSBit MSBit LSBit LSBit CAPTURE STROBE 1. 测量点设置于 CMOS 电平 :0.3V DD 和 0.7V DD 50 / 60

51 图 20. SPI 时序图 主模式 (1) NSS 输入 高电平 SCK 输入 CPHA = 0 CPOL = 0 CPHA = 0 CPOL = 1 tc(sck) SCK 输入 CPHA = 1 CPOL = 0 CPHA = 1 CPOL = 1 tsu(mi) tw(sckh) tw(sckl) tr(sck) tf(sck) MISO 输入 输入最高位输入第 6~1 位输入最低位 th(m) MOSI 输出 输出最高位输出第 6~1 位输出最低位 tv(mo) th(mo) 1. 测量点设置于 CMOS 电平 :0.3V DD 和 0.7V DD 位 ADC 特性除非特别说明, 下表的参数是使用符合表 11 的条件的环境温度 f PCLK2 频率和 V DDA 供电电压测量得到 注 : 建议在每次上电时执行一次校准 表 35. ADC 特性 符号 参数 条件 最小值 典型值 最大值 单位 VDDA 供电电压 V VREF+ 正参考电压 2.5 VDDA V fadc ADC 时钟频率 15 MHz fs (1) 采样速率 1 MHz ftrig (1) 外部触发频率 fadc = 15MHz khz 1/fADC VAIN (2) 转换电压范围 0(VSSA 或 VREF- 连接到 VREF+ 地 ) RAIN (1) 外部输入阻抗参见公式 1 和表 36 kω RADC (1) 采样开关电阻 1 kω CADC (1) 内部采样和保持电容 10 pf V 51 / 60

52 符号参数条件最小值典型值最大值单位 ts (1) 采样时间 fadc = 15MHz μs /fADC tstab (1) 上电时间 1 μs tconv (1) 总的转换时间 ( 包括采样时间 ) fadc = 15MHz μs 15 ~ 253( 采样 ts+ 逐步逼近 13.5) 1/fADC 1. 由设计保证, 不在生产中测试 2. 在该系列产品中,V REF+ 在内部连接到 V DDA,V REF- 在内部连接到 V SSA 公式 1: 最大 R AIN 公式 T S R AIN < f ADC C ADC In(2 N+2 ) R ADC 上述公式 ( 公式 1) 用于决定最大的外部阻抗, 使得误差可以小于 1/4 LSB 其中 N = 12( 表示 12 位 分辨率 ) 表 36. f ADC = 15MHz (1) 时的最大 R AIN TS( 周期 ) ts(μs) 最大 RAIN(kΩ) 由设计保证, 不在生产中测试 表 37. ADC 精度 局限的测试条件 (1)(2) 符号参数测试条件典型值最大值 (3) 单位 ET 综合误差 ±15 ±18 EO 偏移误差 fpclk2 =60MHz, ±3 ±5 EG 增益误差 fadc = 15MHz,RAIN < 10 kω, ±6 ±8 LSB ED 微分线性误差 VDDA = 3V ~ 3.6V,TA = 25 ±10 ±11 EL 积分线性误差 ±11 ±13 1. ADC 的直流精度数值是在经过内部校准后测量的 2. ADC 精度与反向注入电流的关系 : 需要避免在任何标准的模拟输入引脚上注入反向电流, 因为这样会显著地降低另一个模拟输入引脚上正在进行的转换精度 建议在可能产生反向注入电流的标准模拟引脚上,( 引脚与地之间 ) 增加一个肖特基二极管 如果正向的注入电流, 只要处于第 节中给出的 I INJ(PIN) 和 ΣI INJ(PIN) 范围之内, 就不会影响 ADC 精度 3. 由综合评估保证, 不在生产中测试 52 / 60

53 图 21. 使用 ADC 典型的连接图 采样和保持 ADC 转换器 VAIN RAIN (1) AINx C parasitic (2) RADC (1) CADC (1) 12 位转换器 寄生电容 1. 有关 R AIN R ADC 和 C ADC 的数值, 参见表 C parasitic 表示 PCB( 与焊接和 PCB 布局质量相关 ) 与焊盘上的寄生电容 ( 大约 7pF) 较大的 C parasitic 数值将降低转换的精度, 解决的办法是减小 f ADC PCB 设计建议电源的去藕必须按照下图连接 图中的 10nF 电容必须是瓷介电容 ( 好的质量 ), 它们应该尽可能地靠近 MCU 芯片 图 22. 供电电源和参考电源去藕线路 V DDA 1 µf // 10 nf V SSA 53 / 60

54 6. 封装特性 6.1 封装 LQFP48 图 23. LQFP48,48 脚低剖面方形扁平封装图 1. 图不是按照比例绘制 2. 尺寸单位为毫米 毫米 标号 最小值 典型值 最大值 A 1.60 A A b c D D E E e 0.5 K L L N 引脚数目 = / 60

55 6.2 封装 LQFP32 图 24. LQFP32,32 脚低剖面方形扁平封装图 1. 图不是按照比例绘制 2. 尺寸单位为毫米 毫米 标号 最小值 典型值 最大值 A 1.60 A A b c D D E E e 0.8 K L L N 引脚数目 = / 60

56 6.3 封装 QFN32 图 25. QFN32, 方形扁平无引线封装外形 1. 图不是按照比例绘制 2. 尺寸单位为毫米 毫米 标号 最小值 典型值 最大值 A A b D D D E E E e 0.5 L N 引脚数目 = / 60

57 6.4 封装 QFN28 图 26. QFN28, 方形扁平无引线封装外形 1. 图不是按照比例绘制 2. 尺寸单位为毫米 毫米 标号 最小值 典型值 最大值 A A b D D E E e 0.5 L L T 0.15 N 引脚数目 = / 60

58 6.5 封装 TSSOP20 图 27. TSSOP20, 方形扁平无引线封装外形 1. 图不是按照比例绘制 2. 尺寸单位为毫米 毫米 标号 最小值 典型值 最大值 A 1.20 A A b c D E E e 0.65 L L N 引脚数目 = / 60

59 7. 型号命名 MM32 F 031 C 8 T 6 xxx Ver n Device family MM32 =ARM-base 32-bit microcontroller Product type F = General purpose Sub-family 031=MM32F031xx Pin count C = 48 pins K = 32 pins G = 28 pins F = 20 pins User code memory size 8=64KByte 6=32KByte 4=16KByte Package T = LQFP U = QFN P = TSSOP Temperature range 6 = Industrial temperature range, 40 to 85 C. 7 = Industrial temperature range, 40 to 105 C. Options xxx = programmed parts TR = tape and reel packing blank = tray packing Release Version Ver Core Version n = Core Version n 59 / 60

60 8. 修改记录 版本内容日期 Ver2.2.2 Release version 2018/1/16 60 / 60

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

DS_MM32F031x4_x6_Ver3.2.0

DS_MM32F031x4_x6_Ver3.2.0 产品手册 Datasheet MM32F031x4/x6 32 位基于 ARM Cortex M0 核心的微控制器 版本 :3.2.2/m 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 9 1.1 概述... 9 1.2 产品特性... 9 2. 规格说明...11 2.1 器件对比...11 2.2 概述...12 2.2.1 ARM 的 Cortex TM -M0 核心并内嵌闪存和

More information

Datasheet

Datasheet 产品手册 Datasheet MM32F031 32 位基于 ARM Cortex M0 核心的微控制器 版本 :2.8 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 9 1.1 概述... 9 1.2 产品特性... 9 2. 规格说明...11 2.1 器件对比...11 2.2 概述...12 2.2.1 ARM 的 Cortex -M0 核心并内嵌闪存和 SRAM...12

More information

目录 1 总介 概述 产品特性 规格说明 器件对比

目录 1 总介 概述 产品特性 规格说明 器件对比 数据手册 Datasheet MM32F003 32 ARM Cortex M0 1.13_q 保留不通知的情况下, 更改相关资料的权利 目录 1 总介 1 1.1 概述..................................................... 1 1.2 产品特性.................................................. 1

More information

Datasheet

Datasheet 产品手册 Datasheet MM32F103 32 位基于 ARM Cortex M3 核心的微控制器 版本 :2.8 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 8 1.1 概述... 8 1.2 产品特性... 8 2. 规格说明...10 2.1 器件对比...10 2.2 概述...11 2.2.1 ARM 的 Cortex -M3 核心并内嵌闪存和 SRAM...11

More information

DS_MM32SPIN222C_Ver0.1

DS_MM32SPIN222C_Ver0.1 产品手册 Datasheet MM32SPIN222C 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.0.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 错误! 未定义书签 1.1 概述... 错误! 未定义书签 1.2 产品特性... 错误! 未定义书签 2. 规格说明... 错误! 未定义书签 2.1 器件对比... 错误! 未定义书签 2.2 概述...

More information

DS_MM32W3x2xxB_Ver1.0.2

DS_MM32W3x2xxB_Ver1.0.2 产品手册 Datasheet MM32W3xxB 32 位基于 ARM Cortex M3 核心的蓝牙低功耗芯片 版本 :1.1/n4 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 8 1.1 概述... 8 1.2 产品特性... 8 2. 规格说明...10 2.1 器件对比...10 2.2 概述...11 2.2.1 ARM 的 Cortex TM -M3 核心并内嵌闪存和

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

数据手册 功能 CS32F103x8 CS32F103xB 32 位基于 ARM 核心的带 64 或 128K 字节闪存的标准型微控制器 内核 :ARM 32 位 Cortex -M3 内核 最高 72MHz 工作频率, 在存储器的 0 等待周期访问时可达 1.25DMips/MHz (Dhryst

数据手册 功能 CS32F103x8 CS32F103xB 32 位基于 ARM 核心的带 64 或 128K 字节闪存的标准型微控制器 内核 :ARM 32 位 Cortex -M3 内核 最高 72MHz 工作频率, 在存储器的 0 等待周期访问时可达 1.25DMips/MHz (Dhryst 数据手册 功能 CS32F103x8 CS32F103xB 32 位基于 ARM 核心的带 64 或 128K 字节闪存的标准型微控制器 内核 :ARM 32 位 Cortex -M3 内核 最高 72MHz 工作频率, 在存储器的 0 等待周期访问时可达 1.25DMips/MHz (Dhrystone2.1) 单周期乘法和硬件除法 存储器 64KB 或 128KB 程序 Flash 20KB SRAM

More information

PM0042

PM0042 数据手册 STM32F101x6 STM32F101x8 STM32F101xB 基本型, 32 位基于 ARM 核心的带闪存微控制器 6 个 16 位定时器 ADC 7 个通信接口 功能 核心 ARM 32 位的 Cortex-M3 CPU 36MHz,1.25DMIPS/MHz(Dhrystone2.1) 0 等待的存储器访问 单周期乘法和硬件除法 存储器 从 32K 字节至 128K 字节闪存程序存储器

More information

ARM® 32 MCU32 K FlashADC V

ARM® 32 MCU32 K FlashADC V 基于 ARM 的 32 位 MCU, 配有高达 32 K 字节 Flash, 定时器 ADC 和通信接口, 2.0-3.6 V 数据手册 - 生产数据 特性 内核 :ARM 32 位 Cortex -M0 CPU, 频率高达 48 MHz 存储器 16 到 32 KB Flash 4 K 字节 SRAM, 带 HW 奇偶校验 CRC 计算单元 复位和电源管理 数字和 I/O 供电 :2.0 至 3.6

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

PowerPoint template - Guidelines

PowerPoint template - Guidelines STM32F7 介绍 STMCU Team 内容 2 STM32 F7 系列总览 STM32F7 新特性 系统架构 boot 模式 RCC, DMA, SYSCFG, PWR FMC 四线 SPI(QSPI) SPDIF-Rx 低功耗定时器 HDMI-CEC 从 STM32F4 系列到 STM32F7 系列的移植 3 STM32 F7 系列 第一个进入市场的基于 ARM Cortex -M7 的 32

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

ARM® Cortex®-M4 32 MCU+FPU64 KB Flash16 KB SRAM ADC DAC COMP 2.0 – 3.6 V

ARM® Cortex®-M4 32  MCU+FPU64 KB Flash16 KB SRAM ADC DAC COMP 2.0 – 3.6 V STM32F301x6 STM32F301x8 ARM Cortex -M4 32 位 MCU+FPU, 高达 64 KB Flash, 16 KB SRAM, ADC, DAC, COMP, 运算放大器, 2.0 3.6 V 特性 数据手册 - 生产数据 内核 :ARM 32 位 Cortex -M4 CPU, 配有 FPU ( 最大 72 MHz), 单周期乘法指令和硬件除法单元, DSP 指令

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

STM32 for sensorless vector control

STM32 for sensorless vector control STM32 PMSM FOC Shanghai, March,2008 Agenda STM32 FOC Clark Parke Circle limitation Mar 08 1 Agenda / Hall PMSM PLL MC_State_observer_param.h Mar 08 2 Agenda MC_Control_param.h / PI Mar 08 3 Plan STM32

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

FM3318产品手册

FM3318产品手册 FM33A0xx 低功耗 MCU 芯片 简单 2017. 10 FM33A0xx 低功耗 MCU 芯片 版本 3.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

STM32F103CDE Performance Line Datasheet (Chinese)

STM32F103CDE Performance Line Datasheet (Chinese) 数据手册 STM32F103xC STM32F103xD STM32F103xE 增强型,32 位基于 ARM 核心的带 512K 字节闪存的微控制器 USB CAN 11 个定时器 3 个 ADC 13 个通信接口 功能 内核 :ARM 32 位的 Cortex -M3 CPU 最高 72MHz 工作频率, 1.25DMips/MHz(Dhrystone 2.1), 在存储器的 0 等待周期访问时

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

設計目標規格書

設計目標規格書 ARM Cortex -M0 32 位微控制器 NuMicro M051 DN/DE 系列 产品简介 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

STM32L0xx

STM32L0xx 应用笔记 STM32L0xx 硬件开发入门 前言 本应用笔记为系统开发者们提供了所需的开发板特性硬件实现概述, 如供电电源 时钟管理 复位控制 自举模式设置 调试管理 它显示了如何使用 STM32L0xx 产品系列, 说明了开发 STM32L0xx 应用所需的最低硬件资源 本文还包括了详细的参考设计原理图, 说明了其主元件 接口和模式 2017 年 12 月 DocID026156 Rev 1 [English

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

PowerPoint Presentation

PowerPoint Presentation DALI 介绍 Digital Addressable Lighting Interface Mar 2017 DALI DALI: 数字地址化照明接口 (Digital Addressable Lighting Interface) 2 System structure example 3 DALI 2 the Standard IEC-62386 命令 DALI 协议定义双字节指令集, 指令分为标准指令和专用指令两大类

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

修订历史 版本修改日期更改概要 V 初版 V1.0 2/42

修订历史 版本修改日期更改概要 V 初版 V1.0 2/42 32 位 MCU ES32F0271 数据手册 产品简介 数据手册 产品规格 上海东软载波微电子有限公司 2019-1-10 V1.0 1/42 修订历史 版本修改日期更改概要 V1.0 2019-1-10 初版 V1.0 2/42 基于 ARM Cortex-M0 的 ES32F0271 系列 MCU 功能 内核 ARM 32-bit Cortex -M0 从 32 khz 最大到 48 MHz

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

声 明 华大半导体有限公司 ( 以下简称华大半导体或华大 ) 保有在不事先通知的情况下而修改这份文档的权利 华大半导体认为提供的信息是准确可信的 本文档信息于 2018 年 1 月开始使用 在实际进行生产设计时, 请参阅各产品最新的数据手册等相关资料以获取本公司产品的最新规格 华大半导体对本手册拥有

声 明 华大半导体有限公司 ( 以下简称华大半导体或华大 ) 保有在不事先通知的情况下而修改这份文档的权利 华大半导体认为提供的信息是准确可信的 本文档信息于 2018 年 1 月开始使用 在实际进行生产设计时, 请参阅各产品最新的数据手册等相关资料以获取本公司产品的最新规格 华大半导体对本手册拥有 HC32F003 系列 / HC32F005 系列 32 位 ARM Cortex -M0+ 微控制器 数据手册 产品特性 32MHz Cortex-M0+ 32 位 CPU 平台 HC32F003 系列 / HC32F005 系列具有灵活的功耗管理系统 5μA @ 3V 深度睡眠模式 : 所有时钟关闭, 上电复位有效,IO 状态保持,IO 中断有效, 所有寄存器 RAM 和 CPU 数据保存状态时的功耗

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

声 明 华大半导体有限公司 ( 以下简称华大半导体或华大 ) 保有在不事先通知的情况下而修改这份文档的权 利 华大半导体认为提供的信息是准确可信的 本文档信息于 2018 年 1 月开始使用 在实际进行生 产设计时, 请参阅各产品最新的数据手册等相关资料以获取本公司产品的最新规格 华大半导体对本手册

声 明 华大半导体有限公司 ( 以下简称华大半导体或华大 ) 保有在不事先通知的情况下而修改这份文档的权 利 华大半导体认为提供的信息是准确可信的 本文档信息于 2018 年 1 月开始使用 在实际进行生 产设计时, 请参阅各产品最新的数据手册等相关资料以获取本公司产品的最新规格 华大半导体对本手册 HC32L110 系列 32 位 ARM Cortex -M0+ 微控制器 数据手册 产品特性 32MHz Cortex-M0+ 32 位 CPU 平台 HC32L110 系列具有灵活的功耗管理系统, 超 低功耗性能 0.5μA @ 3V 深度睡眠模式 : 所有时钟关闭, 上电复位有效,IO 状态保持,IO 中断有效, 所有寄存器,RAM 和 CPU 数据保存状态 时的功耗 1.0μA @3V 深度睡眠模式

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

FM33A0xxB简单技术手册

FM33A0xxB简单技术手册 FM33A0xxB 低功耗 MCU 芯片 简单 2018. 06 FM33A0xxB 低功耗 MCU 芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

目录目录 1 简介 特性...7 内核... 7 片上存储器... 7 Flash 存储器控制器 FMC... 7 复位控制单元 RSTCU... 7 时钟控制单元 CKCU... 8 电源管理 PWRCU... 8 外部中断 / 事件控制器 EXTI... 8 模数转换器 ADC...

目录目录 1 简介 特性...7 内核... 7 片上存储器... 7 Flash 存储器控制器 FMC... 7 复位控制单元 RSTCU... 7 时钟控制单元 CKCU... 8 电源管理 PWRCU... 8 外部中断 / 事件控制器 EXTI... 8 模数转换器 ADC... 产品规格书 带 ARM Cortex TM -M0+ 内核以及 1 MSPS ADC USART UART SPI I 2 C GPTM SCTM BFTM 和 WDT 高达 32KB Flash 和 4KB SRAM 的 Holtek 32-Bit 单片机 版本 : V1.20 日期 : 2017-03-16 目录目录 1 简介...6 2 特性...7 内核... 7 片上存储器... 7 Flash

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060-

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060- D51.2 2003 MICROMSTER 410/420/430/440 D51.2 2003 micromaster MICROMSTER 410/420/430/440 0.12kW 250kW MICROMSTER 410/420/430/440 MICROMSTER 410 0.12 kw 0.75 kw 0.12kW 250kW MICROMSTER 420 0.12 kw 11 kw

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

目 录

目      录 61 61 61 61 2004-2-23 Sunplus University Program http://www.unsp.com.cn E-mail:unsp@sunplus.com.cn 1 61 1... 5 1.1... 5 1.2 61... 5 2... 8 2.1... 8 2.2... 8 2.2.1... 8 2.2.2... 10 2.3... 10 2.3.1... 10

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information