DS_MM32W3x2xxB_Ver1.0.2

Size: px
Start display at page:

Download "DS_MM32W3x2xxB_Ver1.0.2"

Transcription

1 产品手册 Datasheet MM32W3xxB 32 位基于 ARM Cortex M3 核心的蓝牙低功耗芯片 版本 :1.1/n4 保留不通知的情况下, 更改相关资料的权利

2 目录 1. 总介 概述 产品特性 规格说明 器件对比 概述 ARM 的 Cortex TM -M3 核心并内嵌闪存和 SRAM 内置闪存存储器 CRC( 循环冗余校验 ) 计算单元 内置 SRAM 嵌套的向量式中断控制器 (NVIC) 外部中断 / 事件控制器 (EXTI) 控制模块时钟和启动 自举模式 供电方案 供电监控器 电压调压器 低功耗模式 DMA RTC( 实时时钟 ) 和后备寄存器 定时器和看门狗 通用异步收发器 (UART) I 2 C 总线 串行外设接口 (SPI) 通用串行总线 (USB) 控制器区域网络 (CAN) 通用输入输出接口 (GPIO) ADC( 模拟 / 数字转换器 ) / 60

3 DAC( 数字 / 模拟转换 ) 蓝牙低功耗广播 温度传感器 串行单线 SWD 调试口 (SW-DP) 引脚定义 存储器映像 电气特性 测试条件 最小和最大数值 典型数值 典型曲线 负载电容 引脚输入电压 供电方案 电流消耗测量 RF 一般特性 RF 发射机特性 RF 接收机特性 绝对最大额定值 绝对最大额定值工作条件 通用工作条件 上电和掉电时的工作条件 内嵌复位和电源控制模块特性 内置的参照电压 供电电流特性 外部时钟源特性 控制模块内部时钟源特性 PLL 特性 存储器特性 EMC 特性 / 60

4 绝对最大值 ( 电气敏感性 ) I/O 端口特性 NRST 引脚特性 TIM 定时器特性 通信接口 位 ADC 特性 温度传感器特性 DAC 特性 PCB 设计建议 电源设计建议 PCB 注意事项 G 射频天线设计 封装特性 封装 LQFP 封装 LQFP 型号命名 修改记录 / 60

5 图片目录 图 1. MM32W3xxB 模块框图...17 图 2. 时钟树...18 图 3. MM32W3xxB LQFP64 引脚分布...19 图 4. MM32W3xxBLQFP48 引脚分布...20 图 5. 引脚的负载条件...26 图 6. 引脚输入电压...26 图 7. 供电方案...27 图 8. 电流消耗测量方案...28 图 9. 射频性能参数...28 图 10. 控制模块使用 8 ~ 24MHz 晶体的典型应用...33 图 11. 射频模块使用 16MHz 晶体的典型应用...34 图 12. 外部高速时钟源的交流时序图...35 图 13. 外部低速时钟源的交流时序图...36 图 14. 使用 KHz 晶体的典型应用...37 图 15. 输入输出交流特性定义...43 图 16. 建议的 NRST 引脚保护...44 图 17. I 2 C 总线交流波形和测量电路 (1)...46 图 18. SPI 时序图 从模式和 CPHA = 图 19. SPI 时序图 从模式和 CPHA = 1 (1)...48 图 20. SPI 时序图 主模式 (1)...48 图 21. USB 时序 : 数据信号上升和下降时间定义...49 图 22. 使用 ADC 典型的连接图...51 图 Bit 带缓冲 / 不带缓冲 DAC...54 图 24. 供电电源和参考电源去藕线路...54 图 25. 天线的尺寸...56 图 26. LQFP64,64 脚低剖面方形扁平封装图...57 图 27. LQFP48,48 脚低剖面方形扁平封装图 / 60

6 表格目录 表 1. MM32W3xxB 产品功能和外设配置...10 表 2. 定时器功能比较...13 表 3. MM32W3xxB 引脚定义...21 表 4. 存储器映像...24 表 5. RF 一般特性...28 表 6. 射频发射机特性表...29 表 7. RF 接收机特性...29 表 8. 电压特性...29 表 9. 电流特性...30 表 10. 温度特性...30 表 11. 通用工作条件...30 表 12. 上电和掉电时的工作条件...31 表 13. 内嵌复位和电源控制模块特性...31 表 14. 内置的参照电压...32 表 15. 功耗参数...32 表 16. 高速外部用户时钟特性...33 表 17. 射频模块高速晶体时钟特性...33 表 18. HSE 16MHz 振荡器特性 (1)(2)...34 表 19. 低速外部用户时钟特性...35 表 20. LSE 振荡器特性 (flse=32.768khz) (1)...36 表 21. HSI 振荡器特性 (1)(2)...37 表 22. LSI 振荡器特性 (1)...37 表 23. 低功耗模式的唤醒时间...38 表 24. PLL 特性 (1)...38 表 25. 闪存存储器特性...39 表 26. 闪存存储器寿命和数据保存期限...39 表 27. EMS 特性...40 表 28. ESD 特性 / 60

7 表 29. I/O 静态特性...41 表 30. 输出电压特性...42 表 31. 输入输出交流特性 (1)...42 表 32. NRST 引脚特性...44 表 33. TIMx (1) 特性...45 表 34. I 2 C 接口特性...45 表 35. SPI 特性 (1)...46 表 36. USB 启动时间...49 表 37. USB 直流特性...49 表 38. USB 全速电气特性 (1)...50 表 39. ADC 特性...50 表 40. fadc=15mhz (1) 时的最大 RAIN...51 表 41. ADC 精度 - 局限的测试条件 (1)(2)...51 表 42. 温度传感器特性...52 表 43.DAC 特性 / 60

8 1. 总介 1.1 概述 MM32W3xxB 是超低功耗的单模蓝牙芯片, 射频采用 2.4GHz ISM 频段的频率,2MHz 信道间隔, 符 合蓝牙规范 MM32W3xxB 使用高性能的 ARM Cortex TM -M3 为内核的 32 位微控制器, 最高工作频率可 达 96MHz, 内置高速存储器, 丰富的增强型 I/O 端口和外设连接到两条 APB 总线 MM32W3xxB 系列包 含 2 个 12 位 ADC 2 个 12 位的 DAC 3 个 16 位通用定时器和 1 个 PWM 高级定时器, 还包含标准的通 信接口 :3 个 UART 接口 2 个 I 2 C 接口 1 个 SPI 接口 1 个 USB 接口和 1 个 CAN 接口 MM32W3xxB 产品系列工作电压为 2.3V ~ 3.6V, 工作温度范围包含 -40 ~ +85 常规型 多种省电 工作模式保证低功耗应用的要求 介绍 MM32W3xxB 产品采用 LQFP64 和 LQFP48 的封装形式, 下面给出了该系列产品中所有外设的基本 这些丰富的外设配置, 使得 MM32W3xxB 产品微控制器适合于多种应用场合 : Beacon 无线键盘 鼠标 工业应用 : 工业遥控 遥测 警报系统 门禁系统 数据采集和传输系统 便捷式医疗设备 可穿戴的运动和健身设备 1.2 产品特性 内核与系统 : - 32 位 ARM Cortex TM -M3 处理器内核 最高工作频率可达 96MHz - 内置可嵌套向量中断控制器 (NVIC) - 系统节拍定时器 - 支持 SWD 调试及 JTAG 边界扫描模式 - 单指令周期 32 位硬件乘法器 存储器 - 高达 128K 字节的 Flash, 用户可用空间 110K - 高达 20K 字节的 SRAM, 用户可用空间 16K - Bootloader 支持片内 Flash UART 在线用户编程 (IAP)/ 在线系统编程 (ISP) 单模 BLE 射频收发机 - 数据包处理引擎 - GFSK 编码方式 - 内部电压调节器保证 PSRR - 可编程发射功率范围 :-28dBm ~ +4dBm - 1Mbps 空中数据传输 - 优秀的射频链路预算 : 高达 -80dBm 时钟 复位和电源管理 - 2.3V ~ 3.6V 供电 - 上电 / 断电复位 (POR/PDR) 可编程电压监测器 (PVD) - 射频模块外部 16MHz 高速晶体振荡器 - 内嵌经出厂调校的 48MHz 高速振荡器 8 / 60

9 - 内嵌 40KHz 低速振荡器 - PLL 支持 CPU 最高运行在 96MHz - 外部 KHz RTC 振荡器 低功耗 - 睡眠 停机和待机模式 - V BAT 为 RTC 和后备寄存器供电 2 个 12 位模数转换器,1μS 转换时间 ( 多达 13 个输入通道 ) - 转换范围 :0~V DDA - 支持采样时间和分辨率配置 - 片上温度传感器 2 个 12 位数模转换器 7 通道 DMA 控制器 - 支持的外设 :Timer ADC DAC UART I 2 C SPI 和 USB 多达 40 个快速 I/O 端口 : - 所有 I/O 口可以映像到 16 个外部中断 ; 部分端口可支持 5V 信号 调试模式 - 串行单线调试 (SWD) 和 JTAG 接口 多达 7 个定时器 - 3 个 16 位定时器, 每个定时器有多达 4 个用于输入捕获 / 输出比较 /PWM 或脉冲计数的通道和增量编码器输入 - 1 个 16 位带死区控制和紧急刹车, 用于电机控制的 PWM 高级控制定时器 - 2 个看门狗定时器 ( 独立的和窗口型的 ) - 系统时间定时器 :24 位自减型计数器 多达 7 个通信接口 - 3 个 UART 接口 - 2 个 I 2 C 接口 - 1 个 SPI 接口 - 1 个 USB device 接口 - 1 个 CAN 接口 96 位的芯片唯一 ID(UID) 采用 LQFP64/LQFP48 封装 注 : 本文给出了 MM32W3xxB 产品的订购信息和器件的机械特性 有关完整的 MM32W3xxB 产品的详细信息, 请参考 MM32W3xxB 产品数据手册第 2.2 节 有关 Cortex TM -M3 核心的相关信息, 请参考 Cortex TM -M3 技术参考手册 9 / 60

10 2. 规格说明 2.1 器件对比 表 1. MM32W3xxB 产品功能和外设配置 产品型号外围接口 MM32W373PSB MM32W373PFB MM32W362PSB MM32W362PFB 闪存 K 字节 SRAM K 字节 定时 通用目的 器 高级控制 UART I 2 C 通讯接口 SPI USB CAN 1 1 GPIO 端口 ( 通道数 ) 位同步 ADC ( 通道数 ) 1 13 channels 1 7 channels 1 13 channels 1 7 channels CPU 频率 96 MHz 工作电压 2.3V ~ 3.6V 封装 LQFP64 LQFP48 LQFP64 LQFP48 10 / 60

11 2.2 概述 ARM 的 Cortex TM -M3 核心并内嵌闪存和 SRAM ARM 的 Cortex TM -M3 处理器是最新一代的嵌入式 ARM 处理器, 它为实现 MCU 的需要提供了低成本的平台 缩减的引脚数目 降低的系统功耗, 同时提供卓越的计算性能和先进的中断系统响应 ARM 的 Cortex TM -M3 是 32 位的 RISC 处理器, 提供额外的代码效率, 在通常 8 和 16 位系统的存储空间上发挥了 ARM 内核的高性能 MM32W3xxB 拥有内置的 ARM 核心, 因此它与所有的 ARM 工具和软件兼容 内置闪存存储器最大 128K 字节的内置闪存存储器, 用户可用空间 110K 的内置闪存存储器, 用于存放程序和数据 CRC( 循环冗余校验 ) 计算单元 CRC( 循环冗余校验 ) 计算单元使用一个固定的多项式发生器, 从一个 32 位的数据字产生一个 CRC 码 在众多的应用中, 基于 CRC 的技术被用于验证数据传输或存储的一致性 在 EN/IEC 标准的范围内, 它提供了一种检测闪存存储器错误的手段,CRC 计算单元可以用于实时地计算软件的签名, 并与在链接和生成该软件时产生的签名对比 内置 SRAM 最大 20K 字节的内置 SRAM, 用户可用空间 16K 的内置 SRAM 嵌套的向量式中断控制器 (NVIC) MM32W3xxB 产品内置嵌套的向量式中断控制器, 能够处理多达 68 个可屏蔽中断通道 ( 不包括 16 个 Cortex TM -M3 的中断线 ) 和 16 个可编程优先级 紧耦合的 NVIC 能够达到低延迟的中断响应处理 中断向量入口地址直接进入内核 紧耦合的 NVIC 接口 允许中断的早期处理 处理晚到的较高优先级中断 支持中断尾部链接功能 自动保存处理器状态 中断返回时自动恢复, 无需额外指令开销该模块以最小的中断延迟提供灵活的中断管理功能 外部中断 / 事件控制器 (EXTI) 外部中断 / 事件控制器包含 21 个边沿检测器, 用于产生中断 / 事件请求 每个中断线都可以独立地配置它的触发事件 ( 上升沿或下降沿或双边沿 ), 并能够单独地被屏蔽 ; 有一个挂起寄存器维持所有中断请求的状态 EXTI 可以检测到脉冲宽度小于内部 APB2 的时钟周期 多达 40 个通用 I/O 口连接到 16 个外部中断线 控制模块时钟和启动控制模块系统时钟的选择是在启动时进行, 复位时内部 48MHz 的振荡器被选为默认的 CPU 时钟, 随后可以选择外部的 具失效监控的 16MHz 时钟 ; 当检测到外部时钟失效时, 它将被隔离, 系统将自动地切换到内部的振荡器, 如果使能了中断, 软件可以接收到相应的中断 同样, 在需要时可以采取对 PLL 时 11 / 60

12 钟完全的中断管理 ( 如当一个间接使用的外部振荡器失效时 ) 多个预分频器用于配置 AHB 的频率 高速 APB(APB2 和 APB1) 区域 AHB 和高速 APB 的最高频率是 96MHz 参考图 2 的时钟驱动框图 自举模式在启动时, 通过自举引脚可以选择三种自举模式中的一种 : 从程序闪存存储器自举 从系统存储器自举 从内部 SRAM 自举自举加载程序 (Bootloader) 存放于系统存储器中, 可以通过 UART1 对闪存重新编程 供电方案 V DD= 2.3V ~ 3.6V:V DD 引脚为 I/O 引脚和内部调压器供电 V SSA,V DDA= 2.3V ~ 3.6V: 为 ADC 复位模块 振荡器和 PLL 的模拟部分提供供电 V DDA 和 V SSA 必须分别连接到 V DD 和 V SS V BAT = 1.8V ~ 3.6V: 当关闭 V DD 时,( 通过内部电源切换器 ) 为 RTC 外部 32KHz 振荡器和后备寄存器供电 供电监控器本产品内部集成了上电复位 (POR)/ 掉电复位 (PDR) 电路, 该电路始终处于工作状态, 保证系统在供电超过 2.0V 时工作 ; 当 V DD 低于设定的阀值 (VPOR/PDR) 时, 置器件于复位状态, 而不必使用外部复位电路 器件中还有一个可编程电压监测器 (PVD), 它监视 V DD/V DDA 供电并与阀值 V PVD 比较, 当 V DD 低于或高于阀值 V PVD 时产生中断, 中断处理程序可以发出警告信息或将微控制器转入安全模式 PVD 功能需要通过程序开启 电压调压器调压器将外部电压转成内部数字逻辑工作的电压, 该调压器在复位后始终处于工作状态 低功耗模式 MM32W3xxB 产品支持低功耗模式, 可以在要求低功耗 短启动时间和多种唤醒事件之间达到最佳的平衡 睡眠模式在睡眠模式, 只有 CPU 停止, 所有外设处于工作状态并可在发生中断 / 事件时唤醒 CPU 停机模式在保持 SRAM 和寄存器内容不丢失的情况下, 停机模式可以达到最低的电能消耗 在停机模式下, 停止所有内部 1.8V 部分的供电,HSI 的振荡器和 HSE 晶体振荡器被关闭, 调压器可以被置于普通模式或低功耗模式 可以通过任一配置成 EXTI 的信号把微控制器从停机模式中唤醒,EXTI 信号可以是 16 个外部 I/O 口之一 PVD 的输出的唤醒信号 待机模式待机模式可实现系统的最低功耗 该模式是在 CPU 深睡眠模式时关闭电压调节器 整个 1.5V 供电区域被断电 PLL HSI 和 HSE 振荡器也被断电 SRAM 和寄存器内容丢失 只有备份的寄存器和 12 / 60

13 待机电路维持供电 当一个外部复位 (NRST 引脚 ) IWDG 复位或 WKUP 引脚上的上升沿, 微控制器从待机模式退出 DMA 灵活的 7 路通用 DMA 可以管理存储器到存储器 设备到存储器和存储器到设备的数据传输 ;DMA 控制器支持环形缓冲区的管理, 避免了控制器传输到达缓冲区结尾时所产生的中断 每个通道都有专门的硬件 DMA 请求逻辑, 同时可以由软件触发每个通道 ; 传输的长度 传输的源地址和目标地址都可以通过软件单独设置 DMA 可以用于主要的外设 : UART I 2 C SPI 通用/ 基本 / 高级控制定时器 TIMx ADC DAC 和 USB RTC( 实时时钟 ) 和后备寄存器 RTC 和后备寄存器通过一个开关供电, 在 V DD 有效时该开关选择 V DD 供电, 否则由 V BAT 引脚供电 后备寄存器 (10 个 16 位的寄存器 ) 可以用于在关闭 V DD 时, 保存 20 个字节的用户应用数据 RTC 和后备寄存器不会被系统或电源复位源复位 ; 当从待机模式唤醒时, 也不会被复位 实时时钟具有一组连续运行的计数器, 可以通过适当的软件提供日历时钟功能, 还具有闹钟中断和阶段性中断功能 RTC 的驱动时钟可以是一个使用外部晶体的 KHz 的振荡器 内部低功耗振荡器或高速的外部时钟经 128 分频 内部低功耗振荡器的典型频率为 40KHz 为补偿天然晶体的偏差, 可以通过输出一个 512Hz 的信号对 RTC 的时钟进行校准 RTC 具有一个 32 位的可编程计数器, 使用比较寄存器可以进行长时间的测量 有一个 20 位的预分频器用于时基时钟, 默认情况下时钟为 KHz 时, 它将产生一个 1 秒长的时间基准 定时器和看门狗中等容量的 MM32W3xxB 产品包含 1 个高级控制定时器 3 个通用定时器, 以及 2 个看门狗定时器和 1 个系统嘀嗒定时器 下表比较了高级控制定时器 通用定时器和基本定时器的功能 : 表 2. 定时器功能比较 定时器计数器分辨率计数器类型预分频系数产生 DMA 请求捕获 / 比较通道互补输出 TIM1 16 位 向上, 向下, 向上 / 下 1 ~ 之间的任意整数 有 4 有 TIM2 TIM3 TIM4 16 位 向上, 向下, 向上 / 下 1~ 之间的任意整数 有 4 没有 高级控制定时器 (TIM1) 高级控制定时器 (TIM1) 可以被看成是分配到 6 个通道的三相 PWM 发生器, 它具有带死区插入的互补 PWM 输出, 还可以被当成完整的通用定时器 四个独立的通道可以用于 : 输入捕获 输出比较 产生 PWM( 边缘或中心对齐模式 ) 单脉冲输出 13 / 60

14 配置为 16 位通用定时器时, 它与 TIMx 定时器具有相同的功能 配置为 16 位 PWM 发生器时, 它具有全调制能力 (0 ~ 100%) 在调试模式下, 计数器可以被冻结, 同时 PWM 输出被禁止, 从而切断由这些输出所控制的开关 很多功能都与通用的 TIM 定时器相同, 内部结构也相同, 因此高级控制定时器可以通过定时器链接功能与 TIM 定时器协同操作, 提供同步或事件链接功能 通用定时器 (TIMx) MM32W3xxB 产品中, 内置了多达 3 个可同步运行的通用定时器 (TIM2 TIM3 和 TIM4) 每个定时器都有一个 16 位的自动加载递加 / 递减计数器 一个 16 位的预分频器和 4 个独立的通道, 每个通道都可用于输入捕获 输出比较 PWM 和单脉冲模式输出, 在最大的封装配置中可提供最多 12 个输入捕获 输出比较或 PWM 通道 它们还能通过定时器链接功能与高级控制定时器共同工作, 提供同步或事件链接功能 在调试模式下, 计数器可以被冻结 任一通用定时器都能用于产生 PWM 输出 每个定时器都有独立的 DMA 请求机制 这些定时器还能够处理增量编码器的信号, 也能处理 1 ~ 3 个霍尔传感器的数字输出 独立看门狗独立的看门狗是基于一个 12 位的递减计数器和一个 8 位的预分频器, 它由一个内部独立的 40KHz 的振荡器提供时钟 ; 因为这个振荡器独立于主时钟, 所以它可运行于停机和待机模式 它可以被当成看门狗用于在发生问题时复位整个系统, 或作为一个自由定时器为应用程序提供超时管理 通过选项字节可以配置成是软件或硬件启动看门狗 在调试模式下, 计数器可以被冻结 窗口看门狗窗口看门狗内有一个 7 位的递减计数器, 并可以设置成自由运行 它可以被当成看门狗用于在发生问题时复位整个系统 它由主时钟驱动, 具有早期预警中断功能 ; 在调试模式下, 计数器可以被冻结 系统时基定时器这个定时器是专用于实时操作系统, 也可当成一个标准的递减计数器 它具有下述特性 : 24 位的递减计数器 自动重加载功能 当计数器为 0 时能产生一个可屏蔽系统中断 可编程时钟源 通用异步收发器 (UART) UART 接口具有硬件的 CTS 和 RTS 信号管理 所有 UART 接口都可以使用 DMA 操作 I 2 C 总线多达 2 个 I 2 C 总线接口, 能够工作于多主模式或从模式, 支持标准和快速模式 I 2 C 接口支持 7 位或 10 位寻址,7 位从模式时支持双从地址寻址 14 / 60

15 串行外设接口 (SPI) 1 个 SPI 接口, 在从或主模式下, 全双工和半双工的通信速率可达 18 兆位 / 秒 3 位的预分频器可产生 8 种主模式频率, 可配置成每帧 8 位或 16 位 所有的 SPI 接口都可以使用 DMA 操作 通用串行总线 (USB) MM32W3xxB 产品, 内嵌一个兼容全速 USB 的设备控制器, 遵循全速 USB 设备 (12 兆位 / 秒 ) 标准, 端点可由软件配置, 具有待机 / 唤醒功能 USB 专用的 48MHz 时钟由内部主 PLL 直接产生 ( 时钟源必须是一个 HSE 晶体振荡器 ) 控制器区域网络 (CAN) CAN 接口兼容规范 2.0A 和 2.0B( 主动 ), 位速率高达 1 兆位 / 秒 它可以接收和发送 11 位标识符的标准帧, 也可以接收和发送 29 位标识符的扩展帧 通用输入输出接口 (GPIO) 每个 GPIO 引脚都可以由软件配置成输出 ( 推挽或开漏 ) 输入( 带或不带上拉或下拉 ) 或复用的外设功能端口 多数 GPIO 引脚都与数字或模拟的复用外设共用 除了具有模拟输入功能的端口, 所有的 GPIO 引脚都有大电流通过能力 在需要的情况下,I/O 引脚的外设功能可以通过一个特定的操作锁定, 以避免意外的写入 I/O 寄存器 在 AHB2 上的 I/O 脚可达 18MHz 的翻转速度 ADC( 模拟 / 数字转换器 ) MM32W3xxB 产品内嵌 2 个 12 位的模拟 / 数字转换器 (ADC), 每个 ADC 可用多达 8 个外部通道, 可以实现单次或扫描转换 在扫描模式下, 自动进行在选定的一组模拟输入上的转换 ADC 可以使用 DMA 操作 模拟看门狗功能允许非常精准地监视一路 多路或所有选中的通道, 当被监视的信号超出预置的阀值时, 将产生中断 由通用定时器 (TIMx) 和高级控制定时器 (TIM1) 产生的事件, 可以分别内部级联到 ADC 的触发, 应用程序能使 AD 转换与时钟同步 DAC( 数字 / 模拟转换 ) 数字 / 模拟转换模块 (DAC) 是 12 位数字输入, 电压输出的数字 / 模拟转换器 DAC 可以配置成 8 位或者 12 位模式, 也可以与 DMA 控制器配合使用 DAC 工作在 12 位模式时, 数据可以设置成左对齐, 也可以设置成右对齐 DAC 有 2 个输出通道, 每个通道都有单独的转换器, 可以工作在双 DAC 模式 在此模式下, 可以同步地更新 2 个通道的输出, 这 2 个通道的转换可以同时进行, 也可以分别进行 DAC 主要特征 : 2 个 DAC 转换器 :1 个输出通道对应 1 个转换器 8 位或者 12 位单调输出 12 位模式下数据左对齐或者右对齐 同步更新功能 噪声波形生成 三角波形生成 双 DAC 通道同时或者分别转换 15 / 60

16 每个通道都有 DMA 功能 外部触发转换 蓝牙低功耗广播 MM32W3xxB 集成了蓝牙规范和射频收发器, 兼容国际通信联盟无线电通信局定义的无需授权许可的 2.4GHz 的 ISM 频段 为 MM32W3xxB 供电后, 射频收发外围只需搭建简单的外围元件即可实现无线收发功能 它提供高达 - 80dBm 的优秀的射频链路预算 温度传感器温度传感器产生一个随温度线性变化的电压, 转换范围在 2.5V < V DDA<3.6V 之间 温度传感器在内部被连接到 ADC1_IN9 的输入通道上, 用于将传感器的输出转换到数字数值 串行单线 SWD 调试口 (SW-DP) 内嵌 ARM 的两线串行调试端口 (SW-DP) ARM 的 SW-DP 接口允许通过串行线调试工具连接到单片机 16 / 60

17 图 1. MM32W3xxB 模块框图 ICode AHB Flash 接口 Flash CPU DCode System 总线矩阵 AHB SRAM DMA DMA 桥接 1 APB1 桥接 2 APB2 AHB 复位和时钟控制器 (RCC) CRC ADC2 ADC1 UART1 SPI1 TIM1 GPIOA GPIOB GPIOC GPIOD GPIOE EXTI AFIO DAC PWR BKP USB I2C2 I2C1 UART3 UART2 SPI2 IWDG WWDG RTC TIM4 TIM3 TIM2 DMA 请求 ANALOG PART DIGITAL PART ANT RF Synthesizer 2.4GHz GFSK TX Analog PLL Freq. Control Baseband and Modem CSN SCK MISO MOSI IRQ CE RF_XI RF_XO Oscillator /buffer VDDA LDO Regulator 2.4GHz GFSK RX 17 / 60

18 图 2. 时钟树 HSI 48 MHz PLLSRC HSI /4 DM DN PLL /6 SW HSI/6 PLLCLK SYSCLK HSE AHB Prescaler /1, USB Prescaler /1,2 /8 APB1 Prescaler /1,2,4,8,16 48MHz Clock Enable (3 bits) USBCLK to USB interface HCLK to AHB bus, core memory and DMA to Cortex System timer FCLK Cortex Free running clock Peripheral Clock Enable (16 bits) PCLK1 to APB1 peripherals OSC_OUT OSC_IN HSE OSC 8-24 MHz PLLXTPRE /2 CSS If (APB1 Prescaler=1) x 1 else x 2 APB2 Prescaler /1,2,4,8,16 to TIM2,3 and 4 Peripheral Clock Enable (3 bits) Peripheral Clock Enable (12 bits) TIMXCLK PCLK2 to APB2 peripherals OSC32_IN OSC32_OUT LSE OSC khz /128 LSE RTCCLK to RTC If (APB2 Prescaler=1) x 1 else x 2 ADC Prescaler /2,4,6,8 TIM1CLK to TIM1 Peripheral Clock Enable (1 bit) ADCCLK to ADC RTCSEL[1:0] MCO LSI 40kHz Main Clock Output LSI /2 PLLCLK HSI HSE SYSCLK IWDGCLK to Independent Watchdog (IWDG) Legend: HSE = high-speed external clock signal HSI = high-speed internal clock signal LSI = low-speed internal clock signal LSE = low-speed external clock signal MCO 18 / 60

19 19 / 引脚定义图 3. MM32W3xxB LQFP64 引脚分布 BOOT0 PB7 PB6 NC PB4 NC NC PC12 PC11 PC10 PA15 PA14 DVDD IRQ VDD1V2 RF_MISO PD0-OSC_IN PD1-OSC_OUT NRST PC0 PC15-OSC32_OUT PC14-OSC32_IN PC13-TEAMPER-RTC VBAT PC1 RF_XO RF_XI VSSA VDDA PA0-WKUP DVDD PA2 PA5 PA6 PA7 PC4 PC5 PB0 PB1 PB2 PA4 DVDD DVSS PA3 PB10 PB11 DVSS ANTP PA11 PA10 PA9 PA8 PC9 PC8 PC7 PC6 PB15 PB14 PB13 PB12 DVDD DVSS PA13 PA LQFP64

20 20 / 60 图 4. MM32W3xxBLQFP48 引脚分布 DVDD IRQ VDD1V2 RF_MISO BOOT0 PB7 PB6 NC PB4 NC PA15 PA14 PA7 PB0 PB1 PB2 PB10 PB11 DVSS ANTP PA6 PA5 PA4 PA3 DVDD DVSS PA13 PA12 PA11 PA10 PA9 PA8 PB15 PB14 PB13 PB12 PD0-OSC_IN PD1-OSC_OUT NRST RF_XO RF_XI VSSA VDDA PA0-WKUP PC15-OSC32_OUT PC14-OSC32_IN PC13-TAMER-RTC VBAT LQFP48

21 表 3. MM32W3xxB 引脚定义 引脚编码 I/O 可选的复用功能 (1) 引脚名称类型主功能 (2) LQFP64 LQFP48 电平默认复用功能重定义功能 1 1 VBAT S VBAT 2 2 PC13- TAMPER- I/O PC13 TAMPER-RTC RTC 3 3 PC14- OSC32_IN I/O PC14 OSC32_IN 4 4 PC15- OSC32_ I/O PC15 OSC32_OUT OUT 5 5 PD0- OSC_IN I OSC_IN 6 6 PD1- OSC_ O OSC_OUT OUT 7 7 NRST I/O NRST 8 PC0 I/O PC0 ADC2_IN2 9 PC1 I/O PC1 ADC2_IN RF_XO I/O RF_XO 11 9 RF_XI I/O RF_XI VSSA S VSSA VDDA S VDDA PA0- WKUP I/O PA0 15 DVDD S RF_ AVDD 16 PA2 I/O PA PA3 I/O PA3 18 DVSS S DVSS 19 DVDD S DVDD PA4 I/O PA4 ADC1_IN0/WKUP / UART2_CTS/ TIM2_CH1_ETR ADC1_IN2/UART 2_TX/ TIM2_CH3 ADC1_IN3/UART 2_RX/ TIM2_CH4 ADC1_IN4/DAC1 _OUT/ SPI1_NSS 21 / 60

22 引脚编码 I/O 可选的复用功能 (1) 引脚名称类型主功能 (2) LQFP64 LQFP48 电平默认复用功能重定义功能 ADC1_IN5/DAC PA5 I/O PA5 _OUT/ SPI1_SCK PA6 I/O PA6 ADC1_IN6/SPI1_ MISO /TIM3_CH1 TIM1_BKIN PA7 I/O PA7 ADC1_IN7/SPI1_ TIM1_CH1 MOSI /TIM3_CH2 N 24 PC4 I/O PC4 ADC2_IN6 25 PC5 I/O PC5 ADC2_IN PB0 I/O PB0 ADC2_IN0/TIM3_ TIM1_CH2 CH3 N PB1 I/O PB1 ADC2_IN1/TIM3_ TIM1_CH3 CH4 N PB2 I/O FT PB2/BO OT PB10 I/O FT PB10 I 2 C2_SCL/UART3 _TX TIM2_CH PB11 I/O FT PB11 I 2 C2_SDA/UART3 _RX TIM2_CH DVSS S DVSS ANTP ANTP PB12 I/O FT PB12 SPI2_NSS/I 2 C2_S MBAI/TIM1_BKIN PB13 I/O FT PB13 SPI2_SCK/UART 3_CTS/TIM1_CH1 N PB14 I/O FT PB14 SPI2_MISO/UAR T3_RTS/TIM1_C H2N PB15 I/O FT PB15 SPI2_MOSI/TIM1 _CH3N 37 PC6 I/O FT PC6 TIM3_CH1 38 PC7 I/O FT PC7 TIM3_CH2 39 PC8 I/O FT PC8 TIM3_CH3 40 PC9 I/O FT PC9 TIM3_CH PA8 I/O FT PA8 TIM1_CH1/MCO PA9 I/O FT PA9 UART1_TX/TIM1_ CH PA10 I/O FT PA10 UART1_RX/TIM1 _CH3 22 / 60

23 引脚编码 I/O 可选的复用功能 (1) 引脚名称类型主功能 (2) LQFP64 LQFP48 电平默认复用功能重定义功能 UART1_CTS/USB PA11 I/O FT PA11 DM/CAN_RX /TIM1_CH PA12 I/O FT PA12 UART1_RTS/USB DP/CAN_TX /TIM1_ETR PA13 I/O FT JTM/ SWDIO PA DVSS S DVSS DVDD S DVDD PA14 I/O FT JTCK/ SWCLK PA PA15 I/O FT JTDI PA15/ TIM2_CH1_ ETR/ SPI1_NSS 51 PC10 I/O FT PC10 UART3_TX 52 - PC11 I/O FT PC11 UART3_RX 53 - PC12 I/O FT PC NC S FT NC NC S FT NC PB4 I/O FT SPI1_MISO NC S FT NC PB6 I/O FT PB PB7 I/O FT PB BOOT0 I BOOT RF_MISO I/O RF_ MISO VDD1V2 S RF_ VDD1V IRQ S RF_IRQ DVDD S DVDD 1. I= 输入,O= 输出,S= 电源,HiZ= 高阻 2. FT= 容忍 5V I 2 C1_SCL/TIM4_ CH1 I 2 C1_SDA/TIM4_ CH2 UART1_TX UART1_RX 23 / 60

24 4. 存储器映像 表 4. 存储器映像 总线 编址范围 大小 外设 备注 0x x FF 4 KB Reserved 0x x FF 1 KB CRC 0x x4002 2FFF 3 KB Reserved AHB APB2 APB1 0x x FF 1 KB Flash 接口 0x x4002 1FFF 3 KB Reserved 0x x FF 1 KB 复位和时钟控制 (RCC) 0x x4002 0FFF 3 KB Reserved 0x x FF 1 KB DMA 0x x4001 FFFF 32 KB Reserved 0x4001 4C00-0x4001 7FFF 13 KB Reserved 0x x4001 4BFF 1 KB Reserved 0x x FF 1 KB Reserved 0x x FF 1 KB Reserved 0x4001 3C00-0x4001 3FFF 1 KB Reserved 0x x4001 3BFF 1 KB UART1 0x x FF 1 KB Reserved 0x x FF 1 KB SPI1 0x4001 2C00-0x4001 2FFF 1 KB TIM1 0x x4001 2BFF 1 KB ADC2 0x x FF 1 KB ADC1 0x4001 1C00-0x FF 2 KB Reserved 0x x4001 1BFF 1 KB GPIOE 0x x FF 1 KB GPIOD 0x x FF 1 KB GPIOC 0x4001 0C00-0x4001 0FFF 1 KB GPIOB 0x x4001 0BFF 1 KB GPIOA 0x x FF 1 KB EXTI 0x x FF 1 KB AFIO 0x x4000 FFFF 32 KB Reserved 0x x4000 7FFF 2 KB Reserved 0x x FF 1 KB DAC 0x x FF 1 KB 电源控制 (PWR) 0x4000 6C00-0x4000 6FFF 1 KB 后备寄存器 (BKP) 0x x4000 6BFF 1 KB Reserved 0x x FF 1 KB CAN 0x x FF 1 KB Reserved 24 / 60

25 总线 编址范围 大小 外设 备注 0x4000 5C00-0x4000 5FFF 1 KB USB 0x x4000 5BFF 1 KB I 2 C2 0x x FF 1 KB I 2 C1 0x4000 4C00-0x FF 2 KB Reserved 0x x4000 4BFF 1 KB UART3 0x x FF 1 KB UART2 0x4000 3C00-0x FF 2 KB Reserved 0x x4000 3BFF 1 KB SPI2 0x x FF 1 KB Reserved 0x x FF 1 KB IWWDG 0x4000 2C00-0x4000 2FFF 1 KB WWDG 0x x4000 2BFF 1 KB RTC 0x4000 0C00-0x FF 7 KB Reserved 0x x4000 0BFF 1 KB TIM4 0x x FF 1 KB TIM3 0x x FF 1 KB TIM2 SRAM Flash 0x x3FFF FFFF ~512 MB Reserved 0x x2000 4FFF 20 KB SRAM 0x1FFF F810-0x1FFF FFFF ~2 KB Reserved 0x1FFF F800-0x1FFF F80F 16 B Option bytes 0x1FFF F400-0x1FFF F7FF 1 KB Sysem memory 0x1FFE 1C00-0x1FFF F3FF ~256 MB Reserved 0x1FFE x1FFE 1BFF 3KB Security space 0x1FFE x1FFE 0FFF 3 KB Reserved 0x1FFE x1FFE 01FF 0.5KB Protect byte 0x x 1FFDFFFF ~256 MB Reserved 0x x1000 1FFF 8KB Reserved 0x x0FFF FFFF ~128 MB Reserved 0x x0801FFFF 128 KB Main Flash memory 0x x07FF FFFF ~128 MB Reserved 0x x0001FFFF 128 KB 主闪存存储器, 系统存储器或是 SRAM, 有赖于 BOOT 的配置 25 / 60

26 5. 电气特性 5.1 测试条件除非特别说明, 所有电压的都以 V SS 为基准 所有性能均在 50Ω 天线连接器下测得 最小和最大数值 除非特别说明, 最小和最大数值是在环境温度 T A = 25,V DD= 3.3V 下执行的测试 典型数值 除非特别说明, 典型数据是基于 T A = 25 和 V DD = 3.3V 这些数据仅用于设计指导而未经测试 典型曲线 除非特别说明, 典型曲线仅用于设计指导而未经测试 负载电容 测量引脚参数时的负载条件示于下图 图 5. 引脚的负载条件 C=50pF 引脚输入电压 引脚上输入电压的测量方式示于下图 图 6. 引脚输入电压 VIN 26 / 60

27 5.1.6 供电方案 图 7. 供电方案 1.8V ~3.6V VBAT 供电开关 后备电路 (32KHz 振荡器, RTC 唤醒电路, 后备寄存器 ) 5x100nF +1x4.7µF VDD 通用 I /O 端口 VDD 1/2/3/4/5 VSS 1/2/3/4/5 调压器 输出 输入 电平转换 IO 逻辑电路 核心电路 (CPU, 数字电路和存储器 ) VDD VDDA 10nF +1µF VSSA ADC 模拟电路 : 振荡器, PLL 等 注 : 上图中的 4.7μF 电容必须连接到 V DD3 27 / 60

28 5.1.7 电流消耗测量 图 8. 电流消耗测量方案 IDD_VBAT VBAT IDD VDD VDDA 5.2 RF 一般特性 图 9. 射频性能参数 表 5. RF 一般特性 标注 参数 测试条件 最小值 典型值 最大值 单位 FREQ 频率变化 VDD=3.0V,TA= MHz FC 信道间隔 VDD=3.0V,TA=25 2 MHz RFch RF 通道中心 VDD=3.0V,TA= MHz 28 / 60

29 5.3 RF 发射机特性 表 6. 射频发射机特性表 标注 参数 测试条件 最小值 典型值 最大值 单位 MOD 调制方式 GFSK BT 带宽 0.5 Mindex 调制指数 DR 空气传输速率 1Mbps PMAX 最大输出功率 +4 dbm PBW1M 6dB 带宽调制载波 (1Mbps) 500 KHz PSPUR 杂散发射 -41 dbm CFdev 中心频率偏移 ±150 KHz Freqdrift 频率漂移 ±50 KHz IFreqdrift 初始载波频率漂移 ±20 KHz 5.4 RF 接收机特性 表 7. RF 接收机特性 标注 参数 测试条件 最小值 典型值 最大值 单位 RXSENS 接收灵敏度 BER < 0.1% -80 dbm 5.5 绝对最大额定值加在器件上的载荷如果超过 绝对组最大额定值 列表 ( 表 9 表 10 表 11) 中给出的值, 可能会导致 器件永久性地损坏 这里只是给出能承受的最大载荷, 并不意味在此条件下器件的功能性操作无误 器件 长期工作在最大值条件下会影响器件的可靠性 表 8. 电压特性 符号描述最小值最大值单位 VDD-VSS 外部主供电电压 ( 包含 VDDA 和 VDD) (1) VIN 在 5V 容忍的引脚上的输入电压 (2) Vss 在其它引脚上的输入电压 (2) Vss V ΔVDDx 不同供电引脚之间的电压差 50 VSSx-VSS 不同接地引脚之间的电压差 50 mv VESD(HBM) ESD 静电放电电压 ( 人体模型 ) 参见 所有的电源 (V DD, V DDA) 和地 (V SS, V SSA) 引脚必须始终连接到外部允许范围内的供电系统上 2.I INJ(PIN) 绝对不可以超过它的极限 ( 见表 13), 即保证 V IN 不超过其最大值 如果不能保证 V IN 不超过其最大值, 也要保证在外部限制 I INJ(PIN) 不超过其最大值 当 V IN>V INmax 时, 有一个正向注入电流 ; 当 V IN<V SS 时, 有一个反向注入电流 29 / 60

30 表 9. 电流特性 符号 描述 最大值 单位 IVDD 经过 VDD/VDDA 电源线的总电流 ( 供应电流 ) (1) 150 IVSS 经过 VSS 地线的总电流 ( 流出电流 ) (1) 150 IIO IINJ(PIN) (2)(3) 任意 I/O 和控制引脚上的输出灌电流 20 任意 I/O 和控制引脚上的输出电流 -18 NRST 引脚的注入电流 ±5 HSE 的 OSC_IN 引脚和 LSE 的 OSC_IN 引脚的注入电流 ±5 其他引脚的注入电流 (4) ±5 ma IINJ(PIN) (2) 所有 I/O 和控制引脚上的总注入电流 (4) ±25 1. 所有的电源 (V DD,V DDA) 和地 (V SS,V SSA) 引脚必须始终连接到外部允许范围内的供电系统上 2. I INJ(PIN) 绝对不可以超过它的极限, 即保证 V IN 不超过其最大值 如果不能保证 V IN 不超过其最大值, 也要保证在外部限制 I INJ(PIN) 不超过其最大值 当 V IN>V DD 时, 有一个正向注入电流 ; 当 V IN<V SS 时, 有一个反向注入电流 3. 反向注入电流会干扰器件的模拟性能 参看第 节 4. 当几个 I/O 口同时有注入电流时, I INJ(PIN) 的最大值为正向注入电流与反向注入电流的即时绝对值之和 该结果基于在器件 4 个 I/O 端口上 I INJ(PIN) 最大值的特性 表 10. 温度特性 符号 描述 最大值 单位 TSTG 储存温度范围 -45 ~ +150 TJ 最大结温度 绝对最大额定值工作条件 通用工作条件 表 11. 通用工作条件 符号 参数 条件 最小值 最大值 单位 fhclk 内部 AHB 时钟频率 0 96 fpclk1 内部 APB1 时钟频率 0 96 MHz fpclk2 内部 APB2 时钟频率 0 96 VDD 标准工作电压 V VDDA 模拟部分工作电压 必须与 VDD (1) 相同 V VBAT 备份部分工作电压 V PD 功率耗散 温度 :TA=85 LQFP LQFP48 mw TA (2) 环境温度 :TA=85 环境温度 :TA=105 最大功率耗散 低功率耗散 (3) 最大功率耗散 低功率耗散 (3) / 60

31 1. 建议使用相同的电源为 V DD 和 V DDA 供电, 在上电和正常操作期间,V DD 和 V DDA 之间最多允许有 300mV 的差别 2. 如果 T A 较低, 只要 T J 不超过 T Jmax( 参见第 1 节 ), 则允许更高的 P D 数值 3. 在较低的功率耗散的状态下, 只要 T J 不超过 T J max( 参见第 1 节 ),T A 可以扩展到这个范围 上电和掉电时的工作条件下表中给出的参数是在一般的工作条件下测试得出 表 12. 上电和掉电时的工作条件 符号 参数 条件 最小值 最大值 单位 tvdd VDD 上升速率 100 在常温下 VDD 下降速率 100 μs/v 内嵌复位和电源控制模块特性下表中给出的参数是依据表 13 列出的环境温度下和 V DD 供电电压下测试得出 表 13. 内嵌复位和电源控制模块特性 符号 参数 条件 最小值 典型值 最大值 单位 PLS[3:0]=0000( 上升沿 ) V PLS[3:0]=0000( 下降沿 ) V PLS[3:0]=0001( 上升沿 ) V PLS[3:0]=0001( 下降沿 ) 2.0 V VPVD PLS[3:0]=0010( 上升沿 ) V 可编程的电压 PLS[3:0]=0010( 下降沿 ) V 检测器的电平 PLS[3:0]=0011( 上升沿 ) V 选择 PLS[3:0]=0011( 下降沿 ) V PLS[3:0]=0100( 上升沿 ) V PLS[3:0]=0100( 下降沿 ) V PLS[3:0]=0101( 上升沿 ) V PLS[3:0]=0101( 下降沿 ) V VPVDhyst (2) PVD 迟滞 100 mv VPOR/PDR 上电 / 掉电复 下降沿 V 位阀值 上升沿 V VPDRhyst (2) PDR 迟滞 90.9 mv TRSTTEMPO (2) 复位持续时间 20 ms 1. 产品的特性由设计保证至最小的数值 V POR/PDR 2. 由设计保证, 不在生产中测试 内置的参照电压 下表中给出的参数是依据表 15 列出的环境温度下和 VDD 供电电压下测试得出 31 / 60

32 表 14. 内置的参照电压 符号参数条件最小值典型值最大值单位 VREFINT 内置参照电压 -40 <TA< V -40 <TA< V TS_vrefint (1) 当读出内部参照电压时, ADC 的采样时间 10 μs 1. 最短的采样时间是通过应用中的多次循环得到 2. 由设计保证, 不在生产中测试 供电电流特性电流消耗是多种参数和因素的综合指标, 这些参数和因素包括工作电压 环境温度 I/O 引脚的负载 产品的软件配置 工作频率 I/O 脚的翻转速率 程序在存储器中的位置以及执行的代码等 电流消耗的测量方法说明, 详见图 9 本节中给出的所有运行模式下的电流消耗测量值, 都是在执行一套精简的代码 最大电流消耗微控制器处于下列条件 : 所有的 I/O 引脚都处于输入模式, 并连接到一个静态电平上 V DD 或 V SS( 无负载 ) 所有的外设都处于关闭状态, 除非特别说明 闪存存储器的访问时间调整到 f HCLK 的频率 (0 ~ 24MHz 时为 0 个等待周期,24 ~ 48MHz 时为 1 个等待周期 ) 指令预取功能开启 ( 提示 : 这个参数必须在设置时钟和总线分频之前设置 ) 表 15. 功耗参数 外部提供 3.3V 的直流电压 标注 参数 测试条件 最小值 典型值 最大值 单位 STANDBY mode, RF STANDBY mode STOP mode, RF STANDBY mode STOP mode, RF STOP mode I Supply Current SLEEP mode, RF STOP mode ma ACTIVE mode, RF RX mode dBm 23 ACTIVE, RF TX mode 0dBm 28 +3dBm dBm TX, RX 所测功耗参数为使用 HSI 为时钟源, 且配置为 fhclk=48mhz, fapb1 = fhclk/2, fapb2 = fhclk, 基于 TA= 25 和 VDD= 3.3V 所测得的值 32 / 60

33 5.6.6 外部时钟源特性 来自外部振荡源产生的高速外部用户时钟 下表中给出的特性参数是使用一个高速的外部时钟源测得, 环境温度和供电电压符合表 12 的条件 表 16. 高速外部用户时钟特性 符号参数条件最小值典型值最大值单位 fhse_ext 用户外部时钟频率 (1) MHz VHSEH OSC_IN 输入引脚高电平电压 0.7VDD VDD VHSEL OSC_IN 输入引脚低电平电压 VSS 0.3VDD V tw(hse) OSC_IN 高或低的时间 (1) 16 tr(hse) tf(hse) OSC_IN 上升或下降的时间 (1) 20 ns Cin(HSE) OSC_IN 输入容抗 (1) 5 pf DuCy(HSE) 占空比 % IL OSC_IN 输入漏电流 VSS VIN VDD ± 1 ua 表 17. 射频模块高速晶体时钟特性 标注 参数 测试条件 最小值 典型值 最大值 单位 fnom 标称频率 16 MHz ftol 频率公差 负载电容 温度 ±50 ppm ESR 等效串联 100 Ω PD 驱动水平 20 ma 1. 由设计保证, 不在生产中测试 集成了电容器的谐振器 图 10. 控制模块使用 8 ~ 24MHz 晶体的典型应用 CL1 OSC_IN fhse 8MHz 谐振器 RF 增益控制 OSC_OUT CL2 33 / 60

34 图 11. 射频模块使用 16MHz 晶体的典型应用 集成了电容器的谐振器 CL1 16MHz 有源谐振器 RF_IN RF_OUT 增益控制 fhse CL2 使用一个晶体 / 陶瓷谐振器产生的高速外部时钟高速外部时钟 (HSE) 可以使用一个 16MHz 的晶体 / 陶瓷谐振器构成的振荡器产生 本节中所给出的信息是基于使用下表中列出的典型外部元器件, 通过综合特性评估得到的结果 在应用中, 谐振器和负载电容必须尽可能地靠近振荡器的引脚, 以减小输出失真和启动时的稳定时间 有关晶体谐振器的详细参数 ( 频率 封装 精度等 ), 请咨询相应的生产厂商 (1)(2) 表 18. HSE 16MHz 振荡器特性 符号 参数 条件 最小值 典型值 最大值 单位 fosc_in 振荡器频率 16 MHz RF 内部反馈电阻 3000 kω CL1 CL2 (3) I2 建议的负载电容与对应的晶 体串行阻抗 (RS) (4) HSE 驱动电流 RS= 30Ω 30 pf VDD= 3.3V, VIN= VSS 30pF 负载 1 ma gm 振荡器的跨导启动 25 ma/v tsu(hse) (5) 启动时间 VDD 是稳定的 2 ms 1. 谐振器的特性参数由晶体 / 陶瓷谐振器制造商给出 2. 由综合评估得出, 不在生产中测试 3. 对于 C L1 和 C L2, 建议使用高质量的 为高频应用而设计的 ( 典型值为 )5pF~ 25pF 之间的瓷介电容器, 并挑选符合要求的晶体或谐振器 通常 C L1 和 C L2 具有相同参数 晶体制造商通常以 C L1 和 C L2 的串行组合给出负载电容的参数 在选择 C L1 和 C L2 时, PCB 和 MCU 引脚的容抗应该考虑在内 ( 可以粗略地把引脚与 PCB 板的电容按 10pF 估计 ) 4. 相对较低的 RF 电阻值, 能够可以为避免在潮湿环境下使用时所产生的问题提供保护, 这种环境下产生的泄漏和偏置条件都发生了变化 但是, 如果 MCU 是应用在恶劣的潮湿条件时, 设计时需要把这个参数考虑进去 5. t SU(HSE) 是启动时间, 是从软件使能 HSE 开始测量, 直至得到稳定的 8MHz 振荡这段时间 这个数值是在一个标准的晶体谐振器上测量得到, 它可能因晶体制造商的不同而变化较大 34 / 60

35 图 12. 外部高速时钟源的交流时序图 VHSEH 90% 10% VHSEL 控制模块 tr(hse) tf(hse) tw(hse) tw(hse) t THSE RF_XI RF_XO 射频模块 fhse_ext OSC_IN 100pF 控制模块 注 :1. 外部高速时钟源的交流时序图表示控制模块和射频模块共用一个 16MHz 的晶体 / 陶瓷谐振器, 16MHz 的晶体 / 陶瓷谐振器主要为射频模块提供高速时钟, 同时也串联一个 100nF 电容为控制模块提供高速时钟 2. 用户如果使用控制模块内部时钟源,16MHz 的晶体 / 陶瓷谐振器单独为射频模块提供时钟 来自外部振荡源产生的低速外部用户时钟下表中给出的特性参数是使用一个低速的外部时钟源测得, 环境温度和供电电压符合表 12 的条件 表 19. 低速外部用户时钟特性 符号参数条件最小值典型值最大值单位 FLSE_ext 用户外部时钟频率 (1) KHz VLSEH OSC_IN 输入引脚高电平电压 1.2 VLSEL OSC_IN 输入引脚低电平电压 0.25 V tw(lse) OSC_IN 高或低的时间 (1) tr(lse) tf(lse) OSC_IN 上升或下降的时间 (1) 1 ns Cin(LSE) OSC_IN 输入容抗 (1) 5 pf DuCy(LSE) 占空比 50 % IL OSC_IN 输入漏电流 VSS VIN VDD 0.03 ua 1. 由设计保证, 不在生产中测试 35 / 60

36 图 13. 外部低速时钟源的交流时序图 VLSEH 90% 10% VLSEL tr(lse) tf(lse) tw(lse) tw(lse) t TLSE 外部时钟源 flse_ext OSC32_IN I L 使用一个晶体 / 陶瓷谐振器产生的低速外部时钟低速外部时钟 (LSE) 可以使用一个 KHz 的晶体 / 陶瓷谐振器构成的振荡器产生 本节中所给出的信息是基于使用表 23 中列出的典型外部元器件, 通过综合特性评估得到的结果 在应用中, 谐振器和负载电容必须尽可能地靠近振荡器的引脚, 以减小输出失真和启动时的稳定时间 有关晶体谐振器的详细参数 ( 频率 封装 精度等 ), 请咨询相应的生产厂商 ( 译注 : 这里提到的晶体谐振器就是我们通常说的无源晶振 ) 注意 : 对于 C L1 和 C L2, 建议使用高质量的 5pF~15pF 之间的瓷介电容器, 并挑选符合要求的晶体或谐振器 通常 C L1 和 C L2 具有相同参数 晶体制造商通常以 C L1 和 C L2 的串行组合给出负载电容的参数 负载电容 C L 由下式计算 :C L = C L1 x C L2 / (C L1 + C L2) + C stray, 其中 C stray 是引脚的电容和 PCB 板或 PCB 相关的电容, 它的典型值是介于 2pF ~ 7pF 之间 警告 : 为了避免超出 C L1 和 C L2 的最大值 (15pF), 强烈建议使用负载电容 C L 7pF 的谐振器, 不能使用负载电容为 12.5pF 的谐振器 例如 : 如果选择了一个负载电容 C L=6pF 的谐振器并且 C stray=2pf, 则 C L1=C L2=8pF 表 20. LSE 振荡器特性 (f LSE=32.768KHz) (1) 符号参数条件最小值典型值最大值单位 RF 内部反馈电阻 25 MΩ CL1 CL2 (2) 建议的负载电容与对应的晶体串行阻抗 (RS) (3) RS=30Ω 4 pf I2 LSE 驱动电流 VDD=3.3V VIN=VSS 0.08 ua gm 振荡器的跨导 0.5 μa/v tsu(hse) (4) 启动时间 VDD 是稳定的 1 4 S 1. 由综合评估得出, 不在生产中测试 2. 参见本表格上方的注意和警告段落 3. 选择具有较小 R S 值的高质量振荡器 ( 如 MSIV-TIN32.768KHz), 可以优化电流消耗 详情请咨询 36 / 60

37 晶体制造商 4.t SU(HSE) 是启动时间, 是从软件使能 HSE 开始测量, 直至得到稳定的 8MHz 振荡这段时间 这个数值是在一个标准的晶体谐振器上测量得到, 它可能因晶体制造商的不同而变化较大 图 14. 使用 KHz 晶体的典型应用 集成了电容器的谐振器 CL1 OSC32_IN flse KHz 谐振器 RF 增益控制 OSC32_OUT CL 控制模块内部时钟源特性 下表中给出的特性参数是使用环境温度和供电电压符合表 12 的条件测量得到 高速内部 (HSI) 振荡器 (1)(2) 表 21. HSI 振荡器特性 符号 参数 条件 最小值 典型值 最大值 单位 fhsi 频率 MHz TA = -40 ~ ACCHSI HSI 振荡器的精度 TA = -10 ~ TA = 0 ~ % TA = tsu(hsi) HSI 振荡器启动时间 2 μs IDD(HSI) HSI 振荡器功耗 μa 1. V DD = 3.3V,T A = -40 ~ 105, 除非特别说明 2. 由设计保证, 不在生产中测试 低速内部 (LSI) 振荡器 (1) 表 22. LSI 振荡器特性 符号 参数 条件 最小值 典型值 最大值 单位 flsi (2) 频率 KHz tsu(lsi) (2) LSI 振荡器启动时间 1 μs IDD(LSI) (3) LSI 振荡器功耗 μa 1. V DD = 3.3V, T A = -40 ~ 105, 除非特别说明 2. 由综合评估得出, 不在生产中测试 3. 由设计保证, 不在生产中测试 从低功耗模式唤醒的时间 37 / 60

38 表 28 列出的唤醒时间是在一个 8MHz 的 HSI 振荡器的唤醒阶段测量得到 唤醒时使用的时钟源依当前的操作模式而定 : 停机或待机模式 : 时钟源是振荡器 睡眠模式 : 时钟源是进入睡眠模式时所使用的时钟所有的时间是使用环境温度和供电电压符合表 12 的条件测量得到 表 23. 低功耗模式的唤醒时间 符号 参数 条件 最大值 单位 twusleep (1) 从睡眠模式唤醒 使用 HSI 振荡器时钟唤醒 4.2 twustop (1) 从停机模式唤醒 ( 调压器 处于运行模式 ) HSI 振荡器时钟唤醒 = 2μS 6.3 μs twustdby (1) 从待机模式唤醒 HSI 振荡器时钟唤醒 = 2μS 调压器从关闭模式唤醒时间 = 38μS 600 us 1. 唤醒时间的测量是从唤醒事件开始至用户程序读取第一条指令 PLL 特性 下表列出的参数是使用环境温度和供电电压符合表 12 的条件测量得到 表 24. PLL 特性 (1) 符号 参数 数值 最小值典型值最大值 单位 fpll_in PLL 输入时钟 (2) 8 24 MHz PLL 输入时钟占空比 % fpll_out PLL 倍频输出时钟 MHz tlock PLL 锁相时间 100 μs 1. 由设计保证, 不在生产中测试 2. 需要注意使用正确的倍频系数, 从而根据 PLL 输入时钟频率使得 f PLL_OUT 处于允许范围内 38 / 60

39 5.6.9 存储器特性闪存存储器除非特别说明, 所有特性参数是在 T A = -40 ~ 105 得到 表 25. 闪存存储器特性 符号 参数 条件 最小值 典型值 最大值 单位 tprog 8 位的编程时间 TA = -40 ~ μs terase 页 (512K 字节 ) 擦除时 间 TA = -40 ~ ms tme 整片擦除时间 TA = -40 ~ ms 读模式,fHCLK = 48MHz, 5 6 ma IDD 供电电流 写模式, fhclk = 48MHz, 7 ma 擦除模式, fhclk = 48MHz, 2 ma ISB Standby 电流 1@25 50@125 μa IDEP Deep Standby 电流 @125 μa Vprog 编程电压 TBD 3.3 TBD V 1. 由设计保证, 不在生产中测试 表 26. 闪存存储器寿命和数据保存期限 符号参数条件最小值典型值最大值单位 NEND 寿命 ( 译注 : 擦写次数 ) TA = -40 ~ 85 ( 尾缀为 6) TA = -40 ~ 105 ( 尾缀为 7) 10 千次 TA = 85 时,1000 次擦写 (2) 之后 30 tret 数据保存期限 TA = 105,1000 次擦写 (2) 之后 10 年 TA = 55,1 万次擦写 (2) 之后 由综合评估得出, 不在生产中测试 2. 循环测试均是在整个温度范围下进行 EMC 特性敏感性测试是在产品的综合评估时抽样进行测试的 功能性 EMS( 电磁敏感性 ) 当运行一个简单的应用程序时 ( 通过 I/O 端口闪烁 2 个 LED), 测试样品被施加 2 种电磁干扰直到产生错误,LED 闪烁指示了错误的产生 静电放电 (ESD)( 正放电和负放电 ) 施加到芯片所有的引脚直到产生功能性错误 这个测试符合 IEC 标准 FTB: 在 V DD 和 V SS 上通过一个 100pF 的电容施加一个瞬变电压的脉冲群 ( 正向和反向 ) 直到产生功能性错误 这个测试符合 IEC 标准 39 / 60

40 芯片复位可以使系统恢复正常操作 测试结果列于下表中 这是基于应用笔记中定义的 EMS 级别和类型进行的测试 表 27. EMS 特性 符号参数条件级别 / 类型 VEFT 在 VDD 和 VSS 上通过 100pF 的电容施加 的 导致功能错误的瞬变脉冲群电压极限 VDD = 3.3V,TA = +25, fhclk = 48MHz 符合 IEC TBD 设计牢靠的软件以避免噪声的问题在器件级进行 EMC 的评估和优化, 是在典型的应用环境中进行的 应该注意的是, 好的 EMC 性能与用户应用和具体的软件密切相关 因此, 建议用户对软件实行 EMC 优化, 并进行与 EMC 有关的认证测试 软件建议软件的流程中必须包含程序跑飞的控制, 如 : 被破坏的程序计数器 意外的复位 关键数据被破坏 ( 控制寄存器等 ) 认证前的试验很多常见的失效 ( 意外的复位和程序计数器被破坏 ), 可以通过人工地在 NRST 上引入一个低电平或在晶振引脚上引入一个持续 1 秒的低电平而重现 在进行 ESD 测试时, 可以把超出应用要求的电压直接施加在芯片上, 当检测到意外动作的地方, 软件部分需要加强以防止发生不可恢复的错误 绝对最大值 ( 电气敏感性 ) 基于三个不同的测试 (ESD,LU), 使用特定的测量方法, 对芯片进行强度测试以决定它的电气敏感性方面的性能 静电放电 (ESD) 静电放电 ( 一个正的脉冲然后间隔一秒钟后一个负的脉冲 ) 施加到所有样品的所有引脚上, 样品的大小与芯片上供电引脚数目相关 (3 片 x(n+1) 供电引脚 ) 这个测试符合 JESD22-A114/C101 标准 静态栓锁为了评估栓锁性能, 需要在 6 个样品上进行 2 个互补的静态栓锁测试 : 为每个电源引脚, 提供超过极限的供电电压 在每个输入 输出和可配置的 I/O 引脚上注入电流 这个测试符合 EIA/JESD78A 集成电路栓锁标准 40 / 60

41 表 28. ESD 特性 符号参数条件类型最大值单位 VESD(HBM) 静电放电电压 ( 人体模型 ) VESD(CDM) 静电放电电压 ( 充电设备模型 ) ILU I/O 端口特性通用输入 / 输出特性 静态栓锁类 (Latch-up current) TA = +25, 符合 JESD22-A114 TA = +25, 符合 JESD22-C101 TA = +25, 符合 JESD78A 2000 V ma 除非特别说明, 下表列出的参数是按照表 12 的条件测量得到 所有的 I/O 端口都是兼容 CMOS 和 TTL 表 29. I/O 静态特性 符号 参数 条件 最小值 典型值 最大值 单位 VIL 输入低电平电压 TTL 端口 VIH 输入高电平电压 V VIL 输入低电平电压 CMOS 端口 VIH 输入高电平电压 2.08 V Vhys I/O 脚施密特触发器电压迟滞 (1) mv Ilkg 输入漏电流 (2) 1 μa RPU 弱上拉等效电阻 (3) VIN = VSS RPD 弱下拉等效电阻 (3) VIN = VDD kω CIO I/O 引脚的电容 5 pf 1. 施密特触发器开关电平的迟滞电压 由综合评估得出, 不在生产中测试 2. 如果在相邻引脚有反向电流倒灌, 则漏电流可能高于最大值 3. 上拉和下拉电阻是设计为一个真正的电阻串联一个可开关的 PMOS/NMOS 实现 这个 PMOS/NMOS 开关的电阻很小 ( 约占 10%) 所有 I/O 端口都是 CMOS 和 TTL 兼容 ( 不需软件配置 ), 它们的特性考虑了多数严格的 CMOS 工艺或 TTL 参数 : 对于 V IH: - 如果 V DD 是介于 [2.50V ~ 3.08V]; 使用 CMOS 特性但包含 TTL - 如果 V DD 是介于 [3.08V ~ 3.60V]; 使用 TTL 特性但包含 CMOS 对于 V IL: - 使用 CMOS 特性但包含 TTL 输出驱动电流 GPIO( 通用输入 / 输出端口 ) 可以吸收或输出多达 ±8mA 电流, 并且吸收 +20mA 电流 ( 不严格的 V OL) 41 / 60

42 在用户应用中,I/O 脚的数目必须保证驱动电流不能超过 5.5 节给出的绝对最大额定值 : 所有 I/O 端口从 V DD 上获取的电流总和, 加上 MCU 在 V DD 上获取的最大运行电流, 不能超过绝对最大额定值 I VDD( 参见表 6) 所有 I/O 端口吸收并从 V SS 上流出的电流总和, 加上 MCU 在 V SS 上流出的最大运行电流, 不能超过绝对最大额定值 I VSS( 参见表 6) 输出电压除非特别说明, 表 34 列出的参数是使用环境温度和 V DD 供电电压符合表 12 的条件测量得到 所有的 I/O 端口都是兼容 CMOS 和 TTL 的 表 30. 输出电压特性符号参数条件最小值最大值单位 VOL (1) VOH (2) 输出低电平, 当 8 个引脚同时吸收电流输出高电平, 当 8 个引脚同时输出电流 TTL 端口,IIO = +8mA 2.7V <VDD<3.6V 0.8VDD VOL (1) 输出低电平, 当 8 个引脚同时吸收电流 CMOS 端口,IIO = +8mA VOH (2) 输出高电平, 当 8 个引脚同时输出电流 2.7V <VDD<3.6V 0.8VDD VOL (1)(3) 输出低电平, 当 8 个引脚同时吸收电流 IIO = +20mA VOH (2)(3) 输出高电平, 当 8 个引脚同时输出电流 2.7V <VDD<3.6V 0.8VDD VOL (2)(3) 输出低电平, 当 8 个引脚同时吸收电流 IIO = +6mA VOH (2)(3) 输出高电平, 当 8 个引脚同时输出电流 2V <VDD<2.7V TBD TBD V 1. 芯片吸收的电流 I IO 必须始终遵循表 9 中给出的绝对最大额定值, 同时 I IO 的总和 ( 所有 I/O 脚和控制脚 ) 不能超过 I VSS 2. 芯片输出的电流 I IO 必须始终遵循表 9 中给出的绝对最大额定值, 同时 I IO 的总和 ( 所有 I/O 脚和控制脚 ) 不能超过 I VDD 3. 由综合评估得出, 不在生产中测试 输入输出交流特性输入输出交流特性的定义和数值分别在图 17 和表 34 给出 除非特别说明, 表 35 列出的参数是使用环境温度和供电电压符合表 12 的条件测量得到 表 31. 输入输出交流特性 (1) MODEx[1: 0] 的配置 01 (10MHz) 10 (20MHz) 符号参数条件最小值最大值单位 fmax(io)out 最大频率 (2) tf(io)out 输出高至低电平的下降时间 CL= 50pF, CL= 50pF, 10 MHz VDD= 2V ~ 3.6V 25 (3) tr(io)out 输出低至高电平的上升时间 VDD= 2V ~ 3.6V 25 (3) fmax(io)out 最大频率 (2) tf(io)out 输出高至低电平的下降时间 CL = 50pF, ns CL = 50pF, 20 MHz VDD = 2V ~ 3.6V 125 (3) tr(io)out 输出低至高电平的上升时间 VDD = 2V ~ 3.6V 125 (3) ns 42 / 60

43 MODEx[1: 0] 的配置 符号 参数 条件 最小值 最大值 单位 CL= 30pF, VDD= 2.7V ~ 3.6V 50 fmax(io)out 最大频率 (2) CL= 50pF, VDD= 2.7V ~ 3.6V 30 MHz CL= 50pF, VDD= 2V ~ 2.7V 20 CL= 30pF, VDD= 2.7V ~ 3.6V 5 11 (50MHz) tf(io)out 输出高至低电平的下降时间 CL= 50pF, VDD= 2.7V ~ 3.6V 8 CL= 50pF, VDD= 2V ~ 2.7V 12 CL= 30pF, VDD= 2.7V ~ 3.6V 5 ns tr(io)out 输出低至高电平的上升时间 CL= 50pF, VDD= 2.7V ~ 3.6V 8 CL= 50pF, VDD= 2V ~ 2.7V 12 textipw EXTI 控制器检测到外部信 号的脉冲宽度 I/O 端口的速度可以通过 MODEx[1:0] 配置 参见 MM32W3xxB 参考手册中有关 GPIO 端口配置寄存器的说明 2. 最大频率在图 17 中定义 3. 由设计保证, 不在生产中测试 图 15. 输入输出交流特性定义 90% 10% 50% 50% 外部输出负载是 50pF tr (IO)out 10% 90% tr (IO)out T 如果 ((tr + tf) 2/3)T, 并且占空比是 (45 ~ 55%) 当负载为 50pF 时, 达到最大的频率 43 / 60

44 NRST 引脚特性 NRST 引脚输入驱动使用 CMOS 工艺, 它连接了一个不能断开的上拉电阻,R PU( 参见表 36) 除非特别说明, 下表列出的参数是使用环境温度和 V DD 供电电压符合表 12 的条件测量得到 表 32. NRST 引脚特性 符号参数条件最小值典型值最大值单位 VIL(NRST) (1) NRST 输入低电平电压 VIH(NRST) (1) NRST 输入高电平电压 2 VDD V Vhys(NRST) NRST 施密特触发器电压迟滞 0.2VDD mv RPU 弱上拉等效电阻 (2) VIN= VSS 15 kω VF(NRST) (1) NRST 输入滤波脉冲 100 ns VNF(NRST) (1) NRST 输入非滤波脉冲 由设计保证, 不在生产中测试 2. 上拉电阻是设计为一个真正的电阻串联一个可开关的 PMOS 实现 这个 PMOS/NMOS 开关的电 阻很小 ( 约占 10%) 图 16. 建议的 NRST 引脚保护 外部复位电路 (1) VDD NRST (2) RPU 滤波器 内部复位 0.1µF 1. 复位网络是为了防止寄生复位 2. 用户必须保证 NRST 引脚的电位能够低于表 37 中列出的最大 V IL(NRST) 以下, 否则 MCU 不能得到复位 TIM 定时器特性下表列出的参数由设计保证 有关输入输出复用功能引脚 ( 输出比较 输入捕获 外部时钟 PWM 输出 ) 的特性详情, 参见第 节 44 / 60

45 表 33. TIMx (1) 特性 符号参数条件最小值最大值单位 tres(tim) 定时器分辨时间 1 ttimxclk ftimxclk= 96MHz 10.4 ns fext CH1 至 CH4 的定时器外部 时钟频率 0 ftimxclk/2 ftimxclk= 96MHz 0 48 MHz ResTIM 定时器分辨率 16 位 tcounter 当选择了内部时钟时,16 位计数器时钟周期 ttimxclk ftimxclk= 96MHz μs tmax_count 最大可能的计数 x ttimxclk ftimxclk= 96MHz 44.7 S 1. TIMx 是一个通用的名称, 代表 TIM1 ~ TIM 通信接口 I 2 C 接口特性除非特别说明, 表 38 列出的参数是使用环境温度,f PCLK1 频率和 V DD 供电电压符合表 12 的条件测量得到 MM32W3xxB 的 I 2 C 接口符合标准 I 2 C 通信协议, 但有如下限制 :SDA 和 SCL 不是 真 的引脚, 当配置为开漏输出时, 在引出脚和 V DD 之间的 PMOS 管被关闭, 但仍然存在 I 2 C 接口特性列于表 38, 有关输入输出复用功能引脚 (SDA 和 SCL) 的特性详情, 参见第 节 表 34. I 2 C 接口特性 符号 参数 标准 I 2 C (1) 快速 I 2 C (1)(2) 最小值最大值最小值最大值 单位 tw(scll) SCL 时钟低时间 tw(sclh) SCL 时钟高时间 μs tsu(sda) SDA 建立时间 th(sda) SDA 数据保持时间 0 (3) 0 (4) 900 (3) tr(sda) tr(scl) SDA 和 SCL 上升时间 Cb 300 ns tf(sda) tf(scl) SDA 和 SCL 下降时间 th(sta) 开始条件保持时间 tsu(sta) 重复的开始条件建立时间 tsu(sto) 停止条件建立时间 μs tw(sto:sta) 停止条件至开始条件的时间 ( 总 线空闲 ) Cb 每条总线的容性负载 pf 1. 由设计保证, 不在生产中测试 45 / 60

46 2. 为达到标准模式 I 2 C 的最大频率,f PCLK1 必须大于 2MHz 为达到快速模式 I 2 C 的最大频率,f PCLK1 必须大于 4MHz 3. 如果不要求拉长 SCL 信号的低电平时间, 则只需满足开始条件的最大保持时间 4. 为了跨越 SCL 下降沿未定义的区域, 在 MCU 内部必须保证 SDA 信号上至少 300nS 的保持时间 图 17. I 2 C 总线交流波形和测量电路 (1) VDD VDD I2C 总线 4.7KΩ 4.7KΩ 100Ω 100Ω SDA SCL 重复的开始条件 开始条件 SDA tsu(sta) 开始条件 tf(sda) tr(sda) tsu(sda) 停止条件 tsu(sta:sto) th(sta) tw(sckl) th(sda) SCL tw(sckh) tr(sck) tf(sck) tsu(sto) 1. 测量点设置于 CMOS 电平 :0.3V DD 和 0.7V DD SPI 接口特性除非特别说明, 表 39 列出的参数是使用环境温度,f PCLKx 频率和 V DD 供电电压符合表 12 的条件测量得到 有关输入输出复用功能引脚 (NSS SCK MOSI MISO) 的特性详情, 参见第 节 表 35. SPI 特性 (1) 符号参数条件最小值最大值单位 fsck1/tc(sck) SPI 时钟频率 主模式 0 36 从模式 0 18 MHz tr(sck) tf(sck) SPI 时钟上升和下降时间负载电容 :C= 30pF 8 tsu(nss) (2) NSS 建立时间从模式 4tPCLK th(nss) (2) NSS 保持时间从模式 73 ns tw(sckh) (2) tw(sckl) (2) SCK 高和低的时间 主模式,fPCLK= 36MHz, 预分频系数 = / 60

47 符号 参数 条件 最小值 最大值 单位 tsu(mi) (2) 数据输入建立时间, 主模式 SPI1 1 tsu(si) (2) 数据输入建立时间, 从模式 1 th(mi) (2) 数据输入保持时间, 主模式 SPI1 1 th(si) (2) 数据输入保持时间, 从模式 3 ta(so) (2)(3) 从模式,fPCLK= 36MHz, 0 55 数据输出访问时间预分频系数 = 4 从模式,fPCLK= 24MHz tdis(so) (2)(4) 数据输出禁止时间 从模式 10 4tPCLK tv(so) (2)(1) 数据输出有效时间 从模式 ( 使能边沿之后 ) 25 tv(mo) (2)(1) 数据输出有效时间 主模式 ( 使能边沿之后 ) 3 th(so) (2) 从模式 ( 使能边沿之后 ) 25 数据输出保持时间 th(mo) (2) 主模式 ( 使能边沿之后 ) 4 1. 重映射的 SPI1 特性需要进一步确定 2. 由综合评估得出, 不在生产中测试 3. 最小值表示驱动输出的最小时间, 最大值表示正确获得数据的最大时间 4. 最小值表示关闭输出的最小时间, 最大值表示把数据线置于高阻态的最大时间 图 18. SPI 时序图 从模式和 CPHA = 0 CPOL = 1 CPHA=0 CPOL = 0 MISO (from master) MOSI (from slave) NSS (to slave) MSBit MSBit LSBit LSBit CAPTURE STROBE 47 / 60

48 图 19. SPI 时序图 从模式和 CPHA = 1 (1) CPOL = 1 CPHA=1 CPOL = 0 MISO (from master) MOSI (from slave) NSS (to slave) MSBit MSBit LSBit LSBit CAPTURE STROBE 1. 测量点设置于 CMOS 电平 :0.3V DD 和 0.7V DD 图 20. SPI 时序图 主模式 (1) NSS 输入 高电平 SCK 输入 CPHA = 0 CPOL = 0 CPHA = 0 CPOL = 1 tc(sck) SCK 输入 CPHA = 1 CPOL = 0 CPHA = 1 CPOL = 1 tsu(mi) tw(sckh) tw(sckl) tr(sck) tf(sck) MISO 输入 输入最高位输入第 6~1 位输入最低位 th(m) MOSI 输出 输出最高位输出第 6~1 位输出最低位 tv(mo) th(mo) 1. 测量点设置于 CMOS 电平 :0.3V DD 和 0.7V DD USB 特性 48 / 60

49 表 36. USB 启动时间 符号参数最大值单位 tstartup (1) USB 收发器启动时间 1 μs 1. 由设计保证, 不在生产中测试 表 37. USB 直流特性 符号 参数 条件 最小值 (1) 最大值 (1) 单位 输入电平 VDD USB 操作电压 (2) VDI (4) 差分输入灵敏度 I(USBDP,USBDM) VCM (4) 差分共模范围包含 VDI 范围 V VSE (4) 单端接收器阀值 输出电平 VOL 静态输出低电平 1.5kΩ 的 RL 接至 3.6V (5) 0.3 VOH 静态输出高电平 15kΩ 的 RL 接至 VSS (5) 3.6 V 1. 所有的电压测量都是以设备端地线为准 2. 为了与 USB 2.0 全速电气规范兼容,USBDP(D+) 引脚内部已经内置一个 1.5kΩ 电阻接至 V DD, 外部无需再外接 3. MM32W3xxB 的正确 USB 功能可以在 2.7V 得到保证, 而不是在 2.7V ~3.0V 电压范围下降级的电 气特性 4. 由综合评估保证, 不在生产中测试 5. R L 是连接到 USB 驱动器上的负载 图 21. USB 时序 : 数据信号上升和下降时间定义 差分数据线 VCRS 交叉点 VSS tf tr 49 / 60

50 (1) 表 38. USB 全速电气特性 符号 参数 条件 最小值 最大值 单位 tr 上升时间 (2) CL 50pF ns tf 下降时间 (2) CL 50pF ns trfm 上升下降时间匹配 tr / tf % VCRS 输出信号交叉电压 V 1. 由设计保证, 不在生产中测试 2. 测量数据信号从 10% 至 90% 更多详细信息, 参见 USB 规范第 7 章 (2.0 版 ) 位 ADC 特性除非特别说明, 表 43 的参数是使用符合表 12 的条件的环境温度 f PCLK2 频率和 V DDA 供电电压测量得到 注 : 建议在每次上电时执行一次校准 表 39. ADC 特性 符号 参数 条件 最小值 典型值 最大值 单位 VDDA 供电电压 V VREF+ 正参考电压 2.1 VDDA V fadc ADC 时钟频率 15 MHz fs (2) 采样速率 1 MHz ftrig (2) 外部触发频率 fadc = 15MHz KHz 1/fADC 0(VSSA 或 VAIN (2) 转换电压范围 VREF- 连接到 VREF+ V 地 ) RAIN (2) 外部输入阻抗参见公式 1 和表 45 kω RADC (2) 采样开关电阻 1 kω CADC (2) 内部采样和保持电容 10 pf ts (2) 采样时间 fadc = 15MHz μs /fADC tstab (2) 上电时间 1 μs tconv (2) 总的转换时间 ( 包括采样时间 ) fadc = 15MHz μs 15 ~ 253( 采样 ts+ 逐步逼近 13.5) 1/fADC 1. 由综合评估保证, 不在生产中测试 2. 由设计保证, 不在生产中测试 3. 在该系列产品中,V REF+ 在内部连接到 V DDA,V REF- 在内部连接到 V SSA 4. 对于外部触发, 必须在表 45 列出的时延中加上一个延迟 1/f PCLK2 公式 1: 最大 R AIN 公式 T S R AIN < f ADC C ADC In(2 N+2 ) R ADC 上述公式 ( 公式 1) 用于决定最大的外部阻抗, 使得误差可以小于 1/4 LSB 其中 N=12( 表示 12 位 分辨率 ) 50 / 60

51 表 40. f ADC=15MHz (1) 时的最大 R AIN TS( 周期 ) ts(μs) 最大 RAIN(kΩ) NA NA 1. 由设计保证, 不在生产中测试 表 41. ADC 精度 - 局限的测试条件 (1)(2) 符号参数测试条件典型值最大值单位 ET 综合误差 8 10 EO EG ED 偏移误差增益误差微分线性误差 fpclk2=60mhz, fadc=15mhz,rain<10 kω, VDDA=3V ~ 3.6V,TA=25 测量是在 ADC 校准之后进行的 EL 积分线性误差 8 8 LSB 1. ADC 的直流精度数值是在经过内部校准后测量的 2. ADC 精度与反向注入电流的关系 : 需要避免在任何标准的模拟输入引脚上注入反向电流, 因为这样会显著地降低另一个模拟输入引脚上正在进行的转换精度 建议在可能产生反向注入电流的标准模拟引脚上,( 引脚与地之间 ) 增加一个肖特基二极管 如果正向的注入电流, 只要处于第 节中给出的 I INJ(PIN) 和 ΣI INJ(PIN) 范围之内, 就不会影响 ADC 精度 3. 由综合评估保证, 不在生产中测试 图 22. 使用 ADC 典型的连接图 采样和保持 ADC 转换器 VAIN RAIN (1) AINx Cparasitic RADC (1) CADC (1) 12 位转换器 寄生电容 1. 有关 R AIN R ADC 和 C ADC 的数值, 参见表 C parasitic 表示 PCB( 与焊接和 PCB 布局质量相关 ) 与焊盘上的寄生电容 ( 大约 7pF) 较大的 51 / 60

52 C parasitic 数值将降低转换的精度, 解决的办法是减小 f ADC 温度传感器特性 表 42. 温度传感器特性 符号 参数 最小值 典型值 最大值 单位 TL (1) VSENSE 相对于温度的线性度 5 Avg_Slope (1) 平均斜率 mv/ V25 (1) 在 25 时的电压 V tstart (2) 建立时间 10 μs TS_temp (2)(3) 当读取温度时,ADC 采样时间 10 μs 1. 由综合评估保证, 不在生产中测试 2. 由设计保证, 不在生产中测试 3. 最短的采样时间可以由应用程序通过多次循环决定 DAC 特性 表 43.DAC 特性 符号 参数 注释 最小值 典型值 最大值 单位 VDDA 模拟供电电压 V VREF+ 参考供电电压 VREF+ 必须总是小于 VDDA VDDA V VSSA 地 0 V RLOAD (1) 有 Buffer 时,VSSA 上的阻抗有 Buffer 时,VDDA 上的阻抗 KΩ KΩ 无 Buffer 时,DAC_OUT RO (1) 无 Buffer 时的输出阻抗 与 VSS 之间的阻抗最小为 20 KΩ 1.5MΩ CLOAD (1) 负载 有 Buffer 时,DAC_OUT 上的最大负载 50 pf 有 Buffer 时, 给 DAC 一个最大输出偏 DAC_OUTmin (1) DAC_OUTmax (1) DAC_OUT 上的最低电压有 Buffer 时, DAC_OUT 上的最高电压 移, 它相当于在 VREF+=3.6V 时给一个 12 位的 0x0E0~0xF1C 之间的输入或在 VREF+=2.4V 时给一个 12 位的 0x155~0xEAB 之间的输入 0.2 V VDDA-0.2 V 无 Buffer 时, DAC_OUTmin (1) DAC_OUT 上的最低电 0.5 mv 压无 Buffer 时, 给 DAC 一个最大输出偏移 DAC_OUTmax (1) DAC_OUT 上的最高电 VDDA-0.01 V 压 52 / 60

53 符号参数注释最小值典型值最大值单位 IDDVREF+ 休眠模式 ( 待机模式 ) 下,DAC 直流电流损耗 无负载,VREF+=3.6V, 输入最差值 0x0E4 时的直流损耗 50 μa IDDA 休眠模式 ( 待机模式 ) 下,DAC 直流电流损耗 无负载下, 输入中间值 0x800 无负载,VREF+=3.6V, 输入最差值 0xF1C 时的直 630 μa 703 μa 流损耗 DNL (2) INL (2) 差分线性, 两个连续值 (LSB) 之间的差异 非线性积分 DAC 配置为 10Bit LSB DAC 配置为 12Bit ±3 LSB DAC 配置为 10Bit LSB DAC 配置为 12Bit ±4 LSB DAC 配置为 12Bit ±10 偏移误差 DAC 配置为 10Bit, Offset (2) (0x800 的测量值与理想 VREF+=3.6V 值 VREF+/2 之间的差异 ) DAC 配置为 12Bit, VREF+=3.6V ±12 Gain error (2) 增益误差 DAC 配置为 12Bit ±0.5 % tsettling (2) 建立时间 CLOAD 50pF, RLOAD 5kΩ 4 μs 当输入一最小变量时 ( 输 Update rate (2) twakeup (2) 入值以单 BIT 累加 ), DAC_OUT 的最大频率变化关断状态下的唤醒时间 ( 在 DAC 控制寄存器内配置 ENx) CLOAD 50pF, RLOAD 5kΩ 1 MS/s CLOAD 50pF, RLOAD 5kΩ 10 μs PSRR+ (1) 供电抑制比 (VDDA) ( 静态直流测量 ) 无 RLOAD, CLOAD=50pF -40 db 1. 设计担保, 非产品测试 2. 初步数值 53 / 60

54 图 Bit 带缓冲 / 不带缓冲 DAC 带缓冲 / 不带缓冲的 DAC 缓冲器 RLOAD 12 位数模转换器 DACx_OUT CLOAD 6. PCB 设计建议 6.1 电源设计建议电源的去藕必须按照下图连接 图中的 10nF 电容必须是瓷介电容 ( 好的质量 ), 它们应该尽可能地靠近 MCU 芯片 图 24. 供电电源和参考电源去藕线路 V DDA 1 µf // 10 nf V SSA 6.2 PCB 注意事项 MM32W3xxB 4.2 蓝牙工作在 2.4G 无线频段, 应尽量避免各种因素对无线收发的影响, 注意以下几 点 : 包围蓝牙模块的产品外壳避免使用金属, 当使用部分金属外壳时, 应尽量让模块天线部分远离金 属部分 产品内部金属连接线或者金属螺钉, 应尽量远离模块天线部分 模块天线部分应靠载板 PCB 四围放置, 不允许放置于板中, 且天线下方载板铣空, 与天线平行 的方向, 不允许铺铜或走线 直接把天线部分直接露出载板, 也是比较好的选择 模块下方尽量铺大片 GND, 走线尽量往外围延伸 建议在基板上的模块贴装位置使用绝缘材料进行隔离, 例如在该位置放一个整块的丝印 (TopOverLay) 54 / 60

55 电源电源线 地线的布线直接关系到产品的性能, 把噪声干扰降到最低 布线时要尽量加宽地线 电源线宽度, 地线 > 电源线 > 信号线, 通常信号线宽 0.2~0.3mm, 电源线宽 1.2~2.5mm, 用大面积铜层做地线用, 在 PCB 上把没有用的空间都铺成地 电源加两个去耦滤波电容 : 如果使用 LDO 供电, 分别取值 1uF 和 0.1uF 用来滤波 ; 如果使用纽扣电池供电, 分别取值 10uF 和 10uF 用来稳压 芯片 ANT 到天线之间的走线不能太长, 线宽要考虑阻抗匹配要求 55 / 60

56 G 射频天线设计小型天线尺寸可能会因为性能的影响而导致产生比较大的变化 因此, 强烈建议做一个准确的参考设 计以达到最佳性能 绘制 PCB 天线时, 可参考下图给出的尺寸来绘制天线 图 25. 天线的尺寸 表 48. 天线的尺寸 L1 L2 L3 L4 L5 L6 W1 W2 D1 D2 D3 D4 D5 D6 3.94mm 2.70mm 5.00mm 2.64mm 2.00mm 4.90mm 0.90mm 0.50mm 0.50mm 0.30mm 0.30mm 0.50mm 1.40mm 1.70mm 56 / 60

57 7. 封装特性 7.1 封装 LQFP64 图 26. LQFP64,64 脚低剖面方形扁平封装图 1. 图不是按照比例绘制 2. 尺寸单位为毫米 毫米 标号 最小值 典型值 最大值 A 1.60 A A b c D D E E e 0.5 K L L N 引脚数目 =64 57 / 60

58 7.2 封装 LQFP48 图 27. LQFP48,48 脚低剖面方形扁平封装图 1. 图不是按照比例绘制 2. 尺寸单位为毫米 毫米 标号 最小值 典型值 最大值 A 1.60 A A b c D D E E e 0.5 K L L N 引脚数目 = / 60

59 8. 型号命名 MM32 Device family MM32 = ARM-based 32-bit microcontroller Product type W = Wireless W P S B x Sub-family 3 = Cortex M3 User code memory size 5 = 32 K Bytes 6 = 64 K Bytes 7 =128 K Bytes Function family 2 = Support ADC,USB Package P= LQFP N= QFN Pin count S = 64 Pins F = 48 Pins T = 32 Pins Wireless type B = BLE Options TR = tape and reel packing blank = tray packing 59 / 60

60 9. 修改记录 版本内容日期 V1.0 release version 2017/10/17 V1.1 更改功耗参数与 Standby 唤醒时间 2018/02/23 60 / 60

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

DS_MM32F031xx_Ver2.2.4_n

DS_MM32F031xx_Ver2.2.4_n 产品手册 Datasheet MM32F031xx 32 位基于 ARM Cortex M0 核心的微控制器 版本 :2.2.4/n 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 8 1.1 概述... 8 1.2 产品特性... 8 2. 规格说明...10 2.1 器件对比...10 2.2 概述...11 2.2.1 ARM 的 Cortex TM -M0 核心并内嵌闪存和

More information

DS_MM32F031x4_x6_Ver3.2.0

DS_MM32F031x4_x6_Ver3.2.0 产品手册 Datasheet MM32F031x4/x6 32 位基于 ARM Cortex M0 核心的微控制器 版本 :3.2.2/m 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 9 1.1 概述... 9 1.2 产品特性... 9 2. 规格说明...11 2.1 器件对比...11 2.2 概述...12 2.2.1 ARM 的 Cortex TM -M0 核心并内嵌闪存和

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Datasheet

Datasheet 产品手册 Datasheet MM32F031 32 位基于 ARM Cortex M0 核心的微控制器 版本 :2.8 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 9 1.1 概述... 9 1.2 产品特性... 9 2. 规格说明...11 2.1 器件对比...11 2.2 概述...12 2.2.1 ARM 的 Cortex -M0 核心并内嵌闪存和 SRAM...12

More information

目录 1 总介 概述 产品特性 规格说明 器件对比

目录 1 总介 概述 产品特性 规格说明 器件对比 数据手册 Datasheet MM32F003 32 ARM Cortex M0 1.13_q 保留不通知的情况下, 更改相关资料的权利 目录 1 总介 1 1.1 概述..................................................... 1 1.2 产品特性.................................................. 1

More information

Datasheet

Datasheet 产品手册 Datasheet MM32F103 32 位基于 ARM Cortex M3 核心的微控制器 版本 :2.8 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 8 1.1 概述... 8 1.2 产品特性... 8 2. 规格说明...10 2.1 器件对比...10 2.2 概述...11 2.2.1 ARM 的 Cortex -M3 核心并内嵌闪存和 SRAM...11

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

数据手册 Datasheet MM32F032xx 32 ARM Cortex M0 1.00_s 保留不通知的情况下, 更改相关资料的权利

数据手册 Datasheet MM32F032xx 32 ARM Cortex M0 1.00_s 保留不通知的情况下, 更改相关资料的权利 数据手册 Datasheet MM32F032xx 32 ARM Cortex M0 1.00_s 保留不通知的情况下, 更改相关资料的权利 目录 1 总介 1 1.1 概述..................................................... 1 1.2 产品特性..................................................

More information

DS_MM32SPIN222C_Ver0.1

DS_MM32SPIN222C_Ver0.1 产品手册 Datasheet MM32SPIN222C 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.0.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 错误! 未定义书签 1.1 概述... 错误! 未定义书签 1.2 产品特性... 错误! 未定义书签 2. 规格说明... 错误! 未定义书签 2.1 器件对比... 错误! 未定义书签 2.2 概述...

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

PM0042

PM0042 数据手册 STM32F101x6 STM32F101x8 STM32F101xB 基本型, 32 位基于 ARM 核心的带闪存微控制器 6 个 16 位定时器 ADC 7 个通信接口 功能 核心 ARM 32 位的 Cortex-M3 CPU 36MHz,1.25DMIPS/MHz(Dhrystone2.1) 0 等待的存储器访问 单周期乘法和硬件除法 存储器 从 32K 字节至 128K 字节闪存程序存储器

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

数据手册 功能 CS32F103x8 CS32F103xB 32 位基于 ARM 核心的带 64 或 128K 字节闪存的标准型微控制器 内核 :ARM 32 位 Cortex -M3 内核 最高 72MHz 工作频率, 在存储器的 0 等待周期访问时可达 1.25DMips/MHz (Dhryst

数据手册 功能 CS32F103x8 CS32F103xB 32 位基于 ARM 核心的带 64 或 128K 字节闪存的标准型微控制器 内核 :ARM 32 位 Cortex -M3 内核 最高 72MHz 工作频率, 在存储器的 0 等待周期访问时可达 1.25DMips/MHz (Dhryst 数据手册 功能 CS32F103x8 CS32F103xB 32 位基于 ARM 核心的带 64 或 128K 字节闪存的标准型微控制器 内核 :ARM 32 位 Cortex -M3 内核 最高 72MHz 工作频率, 在存储器的 0 等待周期访问时可达 1.25DMips/MHz (Dhrystone2.1) 单周期乘法和硬件除法 存储器 64KB 或 128KB 程序 Flash 20KB SRAM

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

MG115 BLE iBeacon

MG115 BLE iBeacon MG127 BLE Transceiver Application Note Revision History: Rev. No. History Issue Date Remark 0.1 Initial issue April 29, 2016 Preliminary 0.2 Update ch10 about PCB July 11, 2016 Preliminary 0.3 Update MISO

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

PowerPoint template - Guidelines

PowerPoint template - Guidelines STM32F7 介绍 STMCU Team 内容 2 STM32 F7 系列总览 STM32F7 新特性 系统架构 boot 模式 RCC, DMA, SYSCFG, PWR FMC 四线 SPI(QSPI) SPDIF-Rx 低功耗定时器 HDMI-CEC 从 STM32F4 系列到 STM32F7 系列的移植 3 STM32 F7 系列 第一个进入市场的基于 ARM Cortex -M7 的 32

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

ARM® 32 MCU32 K FlashADC V

ARM® 32 MCU32 K FlashADC V 基于 ARM 的 32 位 MCU, 配有高达 32 K 字节 Flash, 定时器 ADC 和通信接口, 2.0-3.6 V 数据手册 - 生产数据 特性 内核 :ARM 32 位 Cortex -M0 CPU, 频率高达 48 MHz 存储器 16 到 32 KB Flash 4 K 字节 SRAM, 带 HW 奇偶校验 CRC 计算单元 复位和电源管理 数字和 I/O 供电 :2.0 至 3.6

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

ARM® Cortex®-M4 32 MCU+FPU64 KB Flash16 KB SRAM ADC DAC COMP 2.0 – 3.6 V

ARM® Cortex®-M4 32  MCU+FPU64 KB Flash16 KB SRAM ADC DAC COMP 2.0 – 3.6 V STM32F301x6 STM32F301x8 ARM Cortex -M4 32 位 MCU+FPU, 高达 64 KB Flash, 16 KB SRAM, ADC, DAC, COMP, 运算放大器, 2.0 3.6 V 特性 数据手册 - 生产数据 内核 :ARM 32 位 Cortex -M4 CPU, 配有 FPU ( 最大 72 MHz), 单周期乘法指令和硬件除法单元, DSP 指令

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

STM32 for sensorless vector control

STM32 for sensorless vector control STM32 PMSM FOC Shanghai, March,2008 Agenda STM32 FOC Clark Parke Circle limitation Mar 08 1 Agenda / Hall PMSM PLL MC_State_observer_param.h Mar 08 2 Agenda MC_Control_param.h / PI Mar 08 3 Plan STM32

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

STM32L0xx

STM32L0xx 应用笔记 STM32L0xx 硬件开发入门 前言 本应用笔记为系统开发者们提供了所需的开发板特性硬件实现概述, 如供电电源 时钟管理 复位控制 自举模式设置 调试管理 它显示了如何使用 STM32L0xx 产品系列, 说明了开发 STM32L0xx 应用所需的最低硬件资源 本文还包括了详细的参考设计原理图, 说明了其主元件 接口和模式 2017 年 12 月 DocID026156 Rev 1 [English

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

RN5T566A

RN5T566A RN5T566A 产 品 规 格 书 版 本 1.3 2012.09.28 RICOH COMPANY, LTD. Electronic Devices Company 此 规 格 书 如 有 更 改, 不 另 行 通 知 2011-2012 版 本 1.3 第 1 页 目 录 1. 概 述... 3 2. 特 性... 3 3. 管 脚 配 置... 4 4. 结 构 框 图... 5 5. 管

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

STM32F103CDE Performance Line Datasheet (Chinese)

STM32F103CDE Performance Line Datasheet (Chinese) 数据手册 STM32F103xC STM32F103xD STM32F103xE 增强型,32 位基于 ARM 核心的带 512K 字节闪存的微控制器 USB CAN 11 个定时器 3 个 ADC 13 个通信接口 功能 内核 :ARM 32 位的 Cortex -M3 CPU 最高 72MHz 工作频率, 1.25DMips/MHz(Dhrystone 2.1), 在存储器的 0 等待周期访问时

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

設計目標規格書

設計目標規格書 ARM Cortex -M0 32 位微控制器 NuMicro M051 DN/DE 系列 产品简介 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information