Microsoft Word - Project.doc

Size: px
Start display at page:

Download "Microsoft Word - Project.doc"

Transcription

1 CMOS 射频集成电路设计课程项目 唐长文 提交期限 : 2007 年 1 月 25 日,26 日课程项目报告 1. 项目简介射频电视调谐器芯片是将射频 MHz 信道中的带宽 8MHz 的数字电视信号下变频到低中频 (7.2MHz) 上的一款射频前端电路 该项目的最终目标是在保证信道性能的前提下减小片外元件的需求, 达到 CMOS 工艺全集成 射频电视调谐器芯片系统结构框图如下所示 : 75Ω UpMixer RF Front-end DnMixer Analog Front-end Anti-Alias Pre-Filter VGA Filter Digital Baseband Complex Mixers I ADC I Band Limit Filter LNA First LO Second LO (Quadrature) Image Rejecter Error Detector PLL Q ADC Q Pre-Filter Anti-Alias Filter VGA RF CMOS TV Tuner for DVB-T/C System 芯片涉及到的主要核心模块有 : 宽带低噪声放大器 上 / 下变频混频器 第一级宽带频率综合器 第二级窄带频率综合器 固定增益低通滤波器, 抗叠混带通虑波器, 可控增益低通滤波器, 抑制镜像电路, 模数转换器等 1

2 2. 项目目标最终达到标准 CMOS 单芯片集成, 与基带信道解码器 (Demodulator) 芯片构成整个数字电视接收系统 整个射频 / 模拟前端芯片的电路结构如下图 : 芯片电路示意图该芯片的难点在于宽带低噪声放大器和低相位噪声频率综合器的设计和实现 2

3 系统芯片指标射频电视调谐器芯片的性能指标如下 : 频率范围 Frequency Range 信道带宽 Channel Bandwidth 最大增益 Maximum Gain 噪声系数 Max. Gain 灵敏度 Sensitivity 三阶交调量 20dB RF AGC Attenuation 二阶交调量 20dB RF AGC Attenuation 信道选择性 Channel selectivity (8MHz BW 5.25MHz 5.75MHz offset I/Q 匹配性本振相位噪声 1kH-3.8MHz Quadrature generator 功耗 Power consumption 芯片面积 Die size 50MHz-860MHz 8MHz 80dB <8dB -85dBm +8.5dBm +45dBm 29db 45dB -45dBc -37dBc -86dBc/Hz, -107dBc/Hz -92dBc/Hz, -112dBc/Hz <180mW@1.8V <9mm 0.18µm CMOS 3. 课程项目 a) 宽带低噪声放大器设计 b) 宽带上变频混频器设计 c) 窄带正交下变频混频器设计 d) 宽带电感电容压控振荡器设计 e) 窄带正交输出电感电容压控振荡器设计 f) 宽带频率综合器设计 g) 窄带频率综合器设计 h) 固定增益低通滤波器设计 i) 抗叠混带通滤波器设计 j) 可变增益低通滤波器设计 k) 功率放大器 (RFID Reader) 设计上述 11 个设计项目任选一个, 独立完成电路级设计和仿真工作, 撰写完整设计报告 设计报告包括 : 电路图,Testbench 电路图, 元器件参数, 理论和原理分析, 手工计算, 性能仿真结果等 文档的整洁 排版格式 图中线条和文字的清晰度等占总分的 20% 3

4 1 宽带低噪声放大器设计 性能指标 : Input impedance Output impedance Bandwidth Minimum input level Maximum input level Gain IIP2 IIP3 Noise figure 75Ω over MHz bands Differential input No requirement Differential output Approx MHz 13.8 dbµv rms (4.90µV, 94.95dBm) 105 dbµv (178mV, 3.75dBm) 12dB to 10dB, RF AGC range: 22dB 3bits, AGC Gain Step 3dB >145dBµV (36.25dBm) >120dBµV (11.25dBm) <4dB <1.8V*5mA=9mW [1] F.Bruccoleri, E. A. M. Klumperink, and B. Nauta, Wide-band CMOS low-noise amplifier exploiting thermal noise canceling, IEEE J. Solid-State Circuits, Vol.39, pp , Feb [2] S. B. T. Wang, A. M. Niknejad, and R. W. Rrodersen, A Sub-mW 960-MHz Ultra-Wideband CMOS LNA, Radio Frequency integrated Circuits (RFIC) Symposium, pp , June [3] W Zhuo, S Embabi, J. Pineda de Gyvez, and E. Sanchez-Sinencio, Using capacitive cross-coupling technique in RF low noise amplifiers and down-conversion mixer design, in Proc. 26 th Eur. Solid State Circuits Conf., Sept. 2000, pp [4] T. W. Kim, B. Kim, A 13-dB improved low-power CMOS RF programmable gain amplifier using differential circuit transconductance linearization for various terrestrial mobile D-TV applications, IEEE J. Solid-State Circuits, Vol.41, pp , Apri [5] T. W. Kim, B. Kim, and K. Lee Highly linear receiver front-end adopting MOSFET transconductance linearization by multiple gated transistors, IEEE J. Solid-State Circuits, Vol.39, pp , Jan [6] A. Amer, E. Hegazi, and H. Ragai, A low-power wideband CMOS LNA for WiMax, IEEE Transaction on Circuits and System II: Brief Paper, Dec

5 2 宽带上变频混频器设计 性能指标 : IF frequency Input bandwidth Output bandwidth Minimum input level Maximum input level LO mixing signal Conversion gain IIP2 IIP3 Noise Figure Double Balance Architecture 1120MHz Approx MHz 8MHz 1120MHz 25.8dBµV rms (19.5µV, 83.0dBm) 95dBµV (56.2 mv, 13.8dBm) >0dBm(273.9mV) >5dB >145dBµV(36.25dBm) >122dBµV(13.25dBm) <8dB <1.8V*5mA=9mW Differential Input/Differential Output [1] E. A. M. Klumperink, S. M. Louwsma, G.J.M. Wienk, and B. Nauta, A CMOS switched transconductor Mixer, IEEE J. Solid-State Circuits, vol.39, pp , Aug [2] M.T. Terrovitis and R. G. Meyer, Noise in current-commutating CMOS mixers, IEEE J. Solid-State Circuits, vol. 34, pp , June [3] M. T. Terrovitis, and R. G. Meyer Intermodulation Distortion in Current-Commutating CMOS Mixer, IEEE Journal of Solid State Circuits, vol.35, pp , Oct [4] H. Darabi and A. A. Abidi, Noise in RF-CMOS mixers: A simple physical model, IEEE J. Solid-State Circuits, vol. 35, pp , Jan [5] S. Chehrazi, R. Bagherl, and A. A. Abidi Noise in passive FET mixer, IEEE 2004 Custom Integrated Circuits Conference, pp [6] D. Manstretta, M. Brandolini, F. Svelto, Second-order intermodulation mechanisms in CMOS downconverters, IEEE J. Solid-State Circuits, vol. 38, pp , March [7] H. Darabi and Janice Chiu, A Noise cancellation technique in active RF-CMOS mixers, IEEE J. Solid-State Circuits, vol. 40, pp , Dec [8] T. W. Kim, B. Kim, and K. Lee Highly linear receiver front-end adopting MOSFET transconductance linearization by multiple gated transistors, IEEE J. Solid-State Circuits, Vol.39, pp , Jan

6 3 窄带正交下变频混频器设计 性能指标 : Input bandwidth Output bandwidth Minimum input level Maximum input level LO mixing signal Conversion gain IIP2 OIP2 IIP3 Noise Figure Double Balance Architecture Image Reject 8MHz 1120MHz 8MHz 30 50MHz 30.8dBµV rms (34.7µV, 77.95dBm) 100dBµV peak amp (100 mv) Optimum (100 mv, 8.75dBm) >0dBm(273.9mV) >10dB 146dBµV(37.25dBm) 180dBµV(71.25dBm) 127dBµV(18.25dBm) <15dB <1.8V*5mA=9mW Differential Input/Differential Output [1] E. A. M. Klumperink, S. M. Louwsma, G.J.M. Wienk, and B. Nauta, A CMOS switched transconductor Mixer, IEEE J. Solid-State Circuits, vol.39, pp , Aug [2] T. Terrovitis, and R. G. Meyer Intermodulation Distortion in Current-Commutating CMOS Mixer, IEEE Journal of Solid State Circuits, vol.35, pp , Oct [3] H. Darabi and A. A. Abidi, Noise in RF-CMOS mixers: A simple physical model, IEEE J. Solid-State Circuits, vol. 35, pp , Jan [4] H. Darabi and Janice Chiu, A Noise cancellation technique in active RF-CMOS mixers, IEEE J. Solid-State Circuits, vol. 40, pp , Dec [5] S. Chehrazi, R. Bagherl, and A. A. Abidi Noise in passive FET mixer, IEEE 2004 Custom Integrated Circuits Conference, pp [6] D. Manstretta, M. Brandolini, F. Svelto, Second-order intermodulation mechanisms in CMOS downconverters, IEEE J. Solid-State Circuits, vol. 38, pp , March [7] R. Montemayer, A 410-mW 1.22-GHz Downconverter in a Dual-Conversion Tuner IC for OpenCable Applications, IEEE J. Solid-State Circuits, vol. 39, pp , April [8] T. W. Kim, B. Kim, and K. Lee Highly linear receiver front-end adopting MOSFET transconductance linearization by multiple gated transistors, IEEE J. Solid-State Circuits, Vol.39, pp , Jan

7 4 宽带电感电容压控振荡器设计 性能指标 : Tuning range Phase noise Output Voltage, Vpp 1150MHz 2000MHz (IF1=1120MHz) < 87dBc/Hz@10kHz < 110dBc/Hz@100kHz < 130dBc/Hz@1MHz >1.2V <1.8V*6mA=10.8mW [1] Hajimiri and T.H. Lee, Design issues in CMOS differential LC oscillators, IEEE J. Solid-State Circuits, vol. 34, pp , May [2] D. Ham, and A.Hajimiri, Concepts and method in optimization of integrated LC VCOs, IEEE J. Solid-State Circuits, vol. 36, pp , June [3] A. Jerng, and C. G. sodini, The impact of device type and sizing on phase noise mechanisms, IEEE J. Solid-State Circuits, vol. 40, pp , Feb [4] A. Kral, F. Behbahani, and A. A. Abidi, RF-CMOS oscillators with switched tuning, IEEE Custom Integrated Circuits Conference, pp , [5] S. M. Oh, C.W. Kim, and S.-G. Lee, A 74%, GHz, wide-tunable LC-tuned VCO in 0.35-mm CMOS technology, Microwave and Optical Technology Letters, vol. 37, pp , April, [6] A.D. Berney, A.M. Niknejad, R.G. Meyer, A 1.8-GHz LC VCO with 1.3-GHz tuning range and digital amplitude calibration, IEEE J. Solid-State Circuits, vol. 40, pp , April [7] 唐长文, 何捷, 闵昊. 一种采用开关阶跃电容的压控振荡器 ( 上 ): 调谐特性的理论分析. 半导体学报 年第 10 期. [8] 唐长文, 何捷, 闵昊. 一种采用开关阶跃电容的压控振荡器 ( 下 ): 电路设计和实现. 半导体学报 年第 11 期. 7

8 5 窄带正交输出电感电容压控振荡器设计 性能指标 : Tuning range Phase noise Output Voltage, Vpp Quadrature Output Quadrature Phase Error Quadrature Amplitude Error 1050MHz 1190MHz (IF1=1120MHz) < 92dBc/Hz@10kHz < 112dBc/Hz@100kHz < 132dBc/Hz@1MHz >1.2V I+, I, Q+, Q <1 <0.5dB <1.8V*6mA=10.8mW [1] J. Tang, P. Ven, D. kasperkovitz, and A. Roermund, Analysis and design of an optimally coupled 5-GHz quadrature LC oscillator, IEEE J. Solid-State Circuits, vol. 37, pp , May [2] P. Andreani, A. Bonfanti, L. Romano, and C. Samori, Analysis and design of a 1.8-GHz CMOS LC quadrature VCO, IEEE JSSC, vol. 37, pp , Dec [3] S. L. J. Gierkink, S. Levantino, R. C. Frye, C. Samori and V. Baccuzzi, A low-phase-noise 5-GHz CMOS quadrature VCO using superharmonic coupling, IEEE J. Solid-State Circuits, vol. 38, pp , July [4] C. W. Yao, and A. N. Willson, A phase-noise reduction techniques for quadrature LC-VCO with phase-to-amplitude noise conversion, 2006 IEEE International Solid-State Circuits Conference, [5] A. Mazzanti, P. Uggetti, F. Svelto, Analysis and design of injection-locked LC dividers for quadrature generation, IEEE JSSC, vol. 39, pp , Sept [6] A. Mazzanti, F. Svelto, A 1.8-GHz injection-locked quadrature CMOS VCO with low phase noise and high phase accuracy, IEEE Transaction on CAS I: Regular papers, vol. 53, pp , Mar [7] A. Mazzanti, F. Svelto, and P. Andreani On the amplitude and phase errors of quadrature LC-tank CMOS oscillators, IEEE JSSC, vol. 41, pp , June [8] L. Romano, S. Levantino, C. Samori, and A. L. Lacaita, Multiphase LC oscillators, IEEE Transaction on CAS I: Regular papers, vol. 53, pp , July [9] P. Andreani, A time-variant analysis of the 1/f 2 phase noise in CMOS parallel LC-tank quadrature oscillators, IEEE Transaction on CAS I: Regular papers, vol. 53, pp , Aug

9 6 宽带频率综合器设计 性能指标 Tuning range Frequency Step Reference Frequency Locked Time Phase noise Reference Spurs Integer-N Architecture 1150MHz 2000MHz (IF1=1120MHz) 12.5MHz 25MHz <200µS < < < < 80dBc <1.8V*3mA=5.4mW, excluding VCO [1] 何捷, DVB-T 接收机中频率综合器的研究,2005 年 5 月, 复旦大学博士论文 [2] Li Lin, Design Techniques for High Performance Integrated Frequency Synthesizer for Multi-standard Wireless Communication Applications, Ph.D Dissertation, University of California, Berkeley, 注意 : 本题中的压控振荡器由选择题 4 的同学配合设计 9

10 7 窄带频率综合器设计 性能指标 Tuning range Frequency Step Reference Frequency Locked Time Phase noise Frequency Spur Σ Fractional-N Architecture 1050MHz 1190MHz (IF1=1120MHz) <62.5kHz 25MHz <100µS < < < < 80dBc <1.8V*3mA=5.4mW excluding VCO [1] 何捷, DVB-T 接收机中频率综合器的研究,2005 年 5 月, 复旦大学博士论文 [2] Li Lin, Design Techniques for High Performance Integrated Frequency Synthesizer for Multi-standard Wireless Communication Applications, Ph.D Dissertation, University of California, Berkeley, 2000.W. Rhee, B. S. Song, and A. Ali, A 1.1-GHz CMOS fractional-n frequency synthesizer with a 3-b third-order Σ modulator, IEEE J. Solid-State Circuits, vol. 35, pp , Oct [4] C. H. Heng, and B. S. Song, A 1.8-GHz CMOS fractional-n frequency synthesizer with randomized multiphase VCO, IEEE J. Solid-State Circuits, vol. 38, pp , June [5] H. Lee, J. K. Cho, K. S. Lee, I. C. Hwang, T. W. Ahn, K. S. Nah, B. H. Park, A Σ fractional-n frequency synthesizer using a wide-band integrated VCO and a fast AFC technique for GSM/GPRS/WCDMA applications, IEEE J. Solid-State Circuits, vol. 39, pp , July [6] B. D. Muer, M. S. J. Steyaert, A CMOS monolithic Σ -controlled fractional-n frequency synthesizer for DCS-1800, IEEE J. Solid-State Circuits, vol. 37, pp , July [7] W. Rhee, B. S. Song, and A. Ali, A 1.1-GHz CMOS fractional-n frequency synthesizer with a 3-b third-order Σ Modulator, IEEE J. Solid-State Circuits, vol. 35, pp , Oct [8] M. Gupta, and B. S. Song A 1.8-GHz spur-cancelled fractional-n frequency synthesizer with LMS-based DAC gain calibration, IEEE J. Solid-State Circuits, vol. 41, pp , Dec 注意 : 本题中的压控振荡器由选择题 5 的同学配合设计 10

11 8 固定增益低通滤波器设计 性能指标 Input/output bandwidth Cut off frequency 14.4MHz Minimum input level Maximum input level Gain IIP2 IIP3 Noise Figure MHz 11.3MHz >20dB 40.8dBµV rms (109.6µV, 68.0dBm) 99.5dBµV peak amp (94mV, -9.25dBm) 15dB 160dBµV (51.25dBm) 135dBµV (26.25dBm) <15dB <1.8V*5mA=9mW 11

12 9 抗叠混带通滤波器设计 性能指标 Input/output bandwidth Pass-band gain Cuff off frequency 1.95MHz, 12.45MHz Stop-band frequency Stop-band attenuation I/Q cut-off mismatch Minimum input level Maximum input level IIP2 IIP3 Noise Figure MHz ( 8MHz Bandwith) 6dB, ripple<0.5db 3.1MHz, 11.3MHz >20dB >60dB <40kHz 55.8dBµV rms (616.7µV, 53.0dBm) 114.5dBµV (approx. 531mV, 5.75dBm) 170dBµV (61.25dBm) 139dBµV (30.25dBm) <35dB <1.8V*10mA=18mW 12

13 10 可变增益低通滤波器设计 性能指标 Input/output bandwidth Minimum input level Maximum input level Gain I & Q mismatch IIP2 IIP3 Noise Figure MHz 61.8dBµV rms (616.7µV, 47.0dBm) 108.8dBµV (275mV, 0dBm) -4.8 to +42.2dB, 47dB AGC range, with tuning step 6dB <2dB gain mismatch between I & Q paths 130dBµV (21.25dBm) 135dBµV (26.25dBm) <35dB <1.8V*5mA=9mW 13

14 11 功率放大器设计 性能指标 Frequency range load RF output power RF input power Power-added-efficiency P1dB Gain Output spurs and harmonics Stability factor Architecture MHz 50Ω +20 to +25dBm <5dBm >30% 20 to 30 db -50dBc >1 Linear PA 14

D4

D4 020 0.18-m SiGe BiCMOS 5-GHz 5/60 GHz 0.18-m SiGe BiCMOS 5/60 GHz Dual- Conversion Receiver Using a Shared Switchable 5-GHz Double Balance Gilbert Mixer 1 1 1 2 1 2 0.18-m SiGe BiCMOS 5-GHz / (5/60 GHz)

More information

·sª¾125´Á

·sª¾125´Á 5 GHz U-NII (bit error rate, BER) (error vector magnitude, EVM) (adjacent channel power (RF/microwave) ratio, ACPR) EVM ( ) (gain compression) (LO feedthrough) IQ (gain imbalance) (carrier frequency offset)

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

IEEE International Symposium on Radio-Frequency Integration Technology CMOS Korea Advanced Institute of Science and Technology, KAIST Neural

IEEE International Symposium on Radio-Frequency Integration Technology CMOS Korea Advanced Institute of Science and Technology, KAIST Neural NO.32 Jan. 2019 2018 2018 IEEE International Symposium on Radio-Frequency Integration Technology 2018 2018 IEEE International Symposium on Radio-Frequency Integration Technology 8/15 ~ 8/17 Melbourne,

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

Microsoft Word - MWRF_Components.doc

Microsoft Word - MWRF_Components.doc Microwave Microwave/RF Components The public WeChat ID: 英联微波 Scan the qr code to follow : Pin Switch Coupler Filter Hybrid Power Divider Review www.ainfoinc.com for updated product info. Chengdu A Inc.

More information

Microsoft PowerPoint - ............2003

Microsoft PowerPoint - ............2003 手 机 设 计 概 述 ( 一 ) 目 录 数 字 手 机 原 理 框 图 手 机 供 电 系 统 框 图 GSM 手 机 设 计 CDMA 手 机 设 计 手 机 功 率 放 大 器 设 计 手 机 电 路 组 成 Base-Band Subsystem (Digital) RF Subsystem (Analog) Antenna 占 1/3 占 2/3 数 字 手 机 原 理 框 图 手 机

More information

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 :ir@mediatek.com 代 理 發 言 人 : 姓 名 : 梁 厚 誼 職 稱 : 投 資 人 關 係 處 處 長 聯

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

<4D6963726F736F667420576F7264202D203237B4C12DA2D1A6DBB54DACECBEC7BB50ACECA7DEC3FE2E646F63>

<4D6963726F736F667420576F7264202D203237B4C12DA2D1A6DBB54DACECBEC7BB50ACECA7DEC3FE2E646F63> 高 雄 師 大 學 報 2009, 27, 9-16 採 用 兩 級 共 源 極 串 接 之 WiMAX 功 率 放 大 器 設 計 吳 建 銘 1 許 琮 富 2 摘 要 本 論 文 採 用 砷 化 鎵 (GaAs) 擬 態 高 電 子 移 動 率 電 晶 體 (PHEMT) 研 製 應 用 於 全 球 互 通 微 波 存 取 (WiMAX) 系 統 之 2.6 GHz 功 率 放 大 器 混 成

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

PowerPoint 簡報

PowerPoint 簡報 http://www.giga-solution.com 97 10 2 2 3 3 89 3 4 9.67 298 ( 97.9.30 ) 6 7 6 5-7 3,149 RFIC( IC) SiP( ) SoC( ) (WLAN) (TV Tuner) 4 4 89 3 90 3 93 4 94 8 95 12 96 4 5 8 2007 Fast 50 (Technology Fast 50

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和

声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 中 电 科 微 波 通 信 ( 上 海 ) 股 份 有 限 公 司 公 开 转 让 说 明 书 主 办 券 商 二 零 一 六 年 三 月 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

u d = R s i d - ωl q i q u q = R s i q + ωl d i d + ωψ 1 u d u q d-q i d i q d q L d L q d q ψ f R s ω i 1 i 5th i th 5 θ 1 θ θ 3 5 5

u d = R s i d - ωl q i q u q = R s i q + ωl d i d + ωψ 1 u d u q d-q i d i q d q L d L q d q ψ f R s ω i 1 i 5th i th 5 θ 1 θ θ 3 5 5 3 5 018 10 Vol. 3 No. 5 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Oct. 018 150080 Matlab /Simulink DOI 10. 15938 /j. jhust. 018. 05. 011 TM35 A 100-683 018 05-006- 06 Stator Harmonic Optimal

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

CMOS 14 ADS..7 ADS

CMOS 14 ADS..7 ADS 堃 ..3 3..3 4 CMOS 14 ADS..7 ADS.33 38...38 5.GHz The design of 5.GHz low voltage and low power consumption mixer with current reuse Maxwell s PHS 3 G OFDM 5 GHz RF RF SOC, System on a Chip (GaAs) (Bipolar)

More information

(Pattern Recognition) 1 1. CCD

(Pattern Recognition) 1 1. CCD ********************************* ********************************* (Pattern Recognition) 1 1. CCD 2. 3. 4. 1 ABSTRACT KeywordsMachine Vision, Real Time Inspection, Image Processing The purpose of this

More information

Microsoft Word - A200911-255.doc

Microsoft Word - A200911-255.doc 硅 片 调 谐 器 (TUNER) 在 PC-TV 上 的 应 用 高 云 北 京 歌 华 有 线 电 视 网 络 股 份 有 限 公 司, 北 京 (100007) E-mail:gaoyun@bgctv.com.cn 摘 要 : 本 文 介 绍 一 款 USB 接 口 的 A+D 电 视 接 收 盒 的 设 计, 该 设 计 采 用 小 尺 寸 的 硅 片 TUNER 与 EM2880 芯 片

More information

Microsoft PowerPoint - ch2-stallings.ppt

Microsoft PowerPoint - ch2-stallings.ppt Transmission Fundamentals Chapter 2 (Stallings Book) 1 Electromagnetic Signal is a function of time can also be expressed as a function of frequency Signal consists of components of different frequencies

More information

untitled

untitled : TE251532 ( ) N7510, NDL-2755T CNS 13438 95 ( ) (SL2-IN/R1/R2/A1-E-0012) 101 11 22 102 12 12 01 ...iii...1 1....2 1.1...2 1.2...2 1.3...3 1.4...4 2....5 2.1...5 2.2...6 2.3...7 3....8 4....9 4.1...9 4.2...9

More information

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud LED/Smart TV LED/智慧電視 www.passivecomponent.com 1 www.passivecomponent.com LED/Smart TV LED/ Function List 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Products \ Application Tuner block DSP block / I/O Voice/Audio

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

<CAFDD7D6B5E7C1A6B5E7D7D3D1A72E696E6464>

<CAFDD7D6B5E7C1A6B5E7D7D3D1A72E696E6464> International Documents and Reports Digital power electronics T=1/ff EF-Energy Factor PF-pumping Factor SE-stored Energy CIR-Capacitor-inductor stored energy Ratio τ τ d ZOH/FOH/SOH τ- EF PF SE 中图分类号 :TN86

More information

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of 26 2 2009 2 Control Theory & Applications Vol. 26 No. 2 Feb. 2009 : 1000 8152(2009)02 0203 06 Buck-Boost 1,2, 3, 2, 4, 1 (1., 411201; 2., 410083; 3., 411105; 4., 410004) :, Buck-Boost,.,,. :,,,, : Buck-Boost

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

2

2 1 2 3 -1 : P in (db) LA = 10lg PL 4 -2 ( ω ) [ ( )] 2 P 1 L A ( ω ) = 10lg = 10lg 1+ ω 2 1 Γ Butterworth (Chebyshev) 5 , 6 20 db = 20log V transmitted V incident 7 Bandwidth Bandwidth Magnitude Constant

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

TD-LTE 发射机系统设计分析

TD-LTE 发射机系统设计分析 TD-LTE --- China Telecom system 4G 3G TD-LTE TD-LTE TI TD-LTE TD-LTE ( 5bit/S/Hz; 2.5bit/S/Hz) ( :100Mbps :50Mbps) (100ms 10ms ) 1.4MHz;3MHz;5MHz,10MHz,15MHz,20MHz 2011 2 7 TD-LTE (GTI) 48 27 38 TD-LTE

More information

投影片 1

投影片 1 北 數 行 論 No boundaries! No limitation! The most appropriate Antenna for your best design! 連 理 04/19/2006 Outline Introduction of ACON Antenna 2 1. Antenna Design Concept 2. Application (a) M-phone (Embedded)

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK SK 9000 ... 2 SK 9000... 4... 4... 5 SK 9000... 7... 9 Command KA 9000 COM... 9 SK 9000... 10 / SK 9000... 10 / Autolock... 12... 13... 14 SK 9000... 17... 18... 19... 19... 20 SK 9000... 20 ZH RU PT NL

More information

出國報告電子檔規格

出國報告電子檔規格 會 議 報 告 ( 會 議 類 別 : 其 他 ) 3GPP RAN #69 Meeting 會 議 報 告 出 席 人 員 : 陳 瓊 璋 / 陳 弘 仁 派 赴 地 區 : 美 國 / 鳳 凰 城 會 議 期 間 :104 年 9 月 14 日 至 9 月 18 日 報 告 日 期 :104 年 10 月 15 日 1 摘 要 本 次 3GPP RAN #69 會 議 於 9 月 在 美 國 的

More information

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc ofired eramics Series UUNG-G HNOOGY OF RF SOUION Feature 特点 低温共烧陶瓷 ompact Size 体积小 Miniaturized SM packaged in low profile and lightweight. ow oss 低 ow insertion loss, high attenuation. High Soldering

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

宏碩-觀光指南coverX.ai

宏碩-觀光指南coverX.ai Time for Taiwan Taiwan-The Heart of Asia Time for Taiwan www.taiwan.net.tw Part 1 01 CONTENTS 04 Part 1 06 Part 2 GO 06 14 22 30 38 Part 3 200+ 02 Part 1 03 1 2 3 4 5 6 04 Jan Feb Mar Apr May Jun Part

More information

y 1 = 槡 P 1 1h T 1 1f 1 s 1 + 槡 P 1 2g T 1 2 interference 2f 2 s y 2 = 槡 P 2 2h T 2 2f 2 s 2 + 槡 P 2 1g T 2 1 interference 1f 1 s + n n

y 1 = 槡 P 1 1h T 1 1f 1 s 1 + 槡 P 1 2g T 1 2 interference 2f 2 s y 2 = 槡 P 2 2h T 2 2f 2 s 2 + 槡 P 2 1g T 2 1 interference 1f 1 s + n n 37 1 Vol 37 No 1 2013 1 Journal of Jiangxi Normal UniversityNatural Science Jan 2013 1000-5862201301-0037-05 MISO 郭荣新, 袁继昌 361021 2 RVQ 2 MISO 3 TN 911 7 A 0 MIMO 2 MISO 3 MIMOnetwork MIMO 3GPP LTE-A 2

More information

scope_d2d2.pdf

scope_d2d2.pdf LAN 2 1. 5G 1. 5G 2. 1000 3. 4. mmwave 2. Bluetooth 1. Bluetooth 2. Bluetooth 3. 4. 3. MTC 1. MTC 2. MTC 3. MTC 4. MTC 5. MTC 1.1 5G 4G 5G [1] 1) a. 4G 1000 b. 5% 100Mbps 4G 100 a. 10Gbps 1.1 5G 2) 4G

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

60C-6-20160802091614

60C-6-20160802091614 推 薦 序 防 災 是 全 球 未 來 的 必 須 面 對 的 首 要 工 作, 台 灣 值 在 這 方 面 進 步 非 常 快, 可 說 在 世 界 上 居 於 領 先 國 家 之 一, 其 中 有 一 項 技 術, 就 是 防 災 的 監 測 我 們 的 團 隊, 利 用 國 科 會 計 畫, 在 民 國 89 年 研 發 出 台 灣 第 一 座 土 石 流 監 測 與 預 報 系 統, 當 初

More information

g 100mv /g 0. 5 ~ 5kHz 1 YSV8116 DASP 1 N 2. 2 [ M] { x } + [ C] { x } + [ K]{ x } = { f t } 1 M C K 3 M C K f t x t 1 [ H( ω )] = - ω 2

g 100mv /g 0. 5 ~ 5kHz 1 YSV8116 DASP 1 N 2. 2 [ M] { x } + [ C] { x } + [ K]{ x } = { f t } 1 M C K 3 M C K f t x t 1 [ H( ω )] = - ω 2 10 2016 10 No. 10 Modular Machine Tool & Automatic Manufacturing Technique Oct. 2016 1001-2265 2016 10-0012 - 05 DOI 10. 13462 /j. cnki. mmtamt. 2016. 10. 004 * 116024 MIMO TH166 TG502 A Dynamic Performance

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

2-2

2-2 ... 2-1... 2-2... 2-6... 2-9... 2-12... 2-13 2005 1000 2006 20083 2006 2006 2-1 2-2 2005 2006 IMF 2005 5.1% 4.3% 2006 2005 3.4% 0.2% 2006 2005 911 2005 2006 2-3 2006 2006 8.5% 1.7 1.6 1.2-0.3 8.3 4.3 3.2

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

PowerPoint Presentation

PowerPoint Presentation Current Status of the 10G-EPON Power Budget and Improvement David Li, Hisense-Ligent dli@ligentphotonics.com Ligent Supporters Bo Wang, China Telecom David Li, Ligent Photonics Frank Chang, Vitesse Corp.

More information

MCR-B142

MCR-B142 TK Micro Component System MCR-B42 27 May 使 用 说 明 书 사용 설명서 注 意 : 在 操 作 本 机 之 前 请 阅 读 此 部 分 要 确 保 最 好 的 性 能, 请 仔 细 阅 读 此 手 册 请 将 它 保 存 在 安 全 的 地 方 以 备 将 来 参 考 2 请 将 本 机 安 装 在 通 风 良 好 凉 爽 并 且 干 燥 干 净 的 地

More information

義 守 大 學 102 學 年 度 第 2 學 期 第 4 次 行 政 會 議 紀 錄 時 間 :103 年 5 月 14 日 ( 星 期 三 ) 上 午 10:00 地 點 : 行 政 大 樓 十 樓 國 際 會 議 廳 主 席 : 蕭 介 夫 校 長 紀 錄 : 楊 育 臻 壹 報 告 事 項

義 守 大 學 102 學 年 度 第 2 學 期 第 4 次 行 政 會 議 紀 錄 時 間 :103 年 5 月 14 日 ( 星 期 三 ) 上 午 10:00 地 點 : 行 政 大 樓 十 樓 國 際 會 議 廳 主 席 : 蕭 介 夫 校 長 紀 錄 : 楊 育 臻 壹 報 告 事 項 義 守 大 學 102 學 年 度 第 2 學 期 第 4 次 行 政 會 議 紀 錄 中 華 民 國 103 年 5 月 14 日 義 守 大 學 102 學 年 度 第 2 學 期 第 4 次 行 政 會 議 紀 錄 時 間 :103 年 5 月 14 日 ( 星 期 三 ) 上 午 10:00 地 點 : 行 政 大 樓 十 樓 國 際 會 議 廳 主 席 : 蕭 介 夫 校 長 紀 錄 :

More information

应用笔记 MF RC500 匹配电路和天线的设计

应用笔记  MF RC500 匹配电路和天线的设计 MF RC500 2005 2-1 - MIFARE MF RC500 MF RC500... 4 1.... 4 2.... 4 2.1... 4 2.2... 4 2.3 MIFARE... 5 2.3.1... 5 2.3.2 RWD CARD... 6 2.3.3 Card RWD... 7 3 MF RC500... 10 3.1... 10 3.2... 12 3.3... 13 3.3.1

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

Page 1 of 7 欲 打 印 此 文 章, 从 您 的 浏 览 器 菜 单 中 选 择 文 件 后 再 选 打 印 MIMO 系 统 容 量 的 计 算 方 法 上 网 时 间 :2007-11-06 用 于 多 输 入 多 输 出 结 构 的 天 线 单 元 会 影 响 无 线 通 信 系 统 的 容 量 并 能 对 抗 多 径 效 应 提 高 性 能 的 一 个 关 键 是 为 系 统 方

More information

[1] [4] Chetverikov Lerch[8,12] LeaVis CAD Limas-Serafim[6,7] (multi-resolution pyramids) 2 n 2 n 2 2 (texture) (calf leather) (veins)

[1] [4] Chetverikov Lerch[8,12] LeaVis CAD Limas-Serafim[6,7] (multi-resolution pyramids) 2 n 2 n 2 2 (texture) (calf leather) (veins) 38 8 38th Annual Conference of CSQ & 8th NQMS MCP IE 120 1. [1] [4] 1 2 3 2. Chetverikov Lerch[8,12] LeaVis CAD Limas-Serafim[6,7] (multi-resolution pyramids) 2 n 2 n 2 2 (texture) (calf leather) (veins)

More information

untitled

untitled 1 2 3 4 5 A 800 700 600 500 400 300 200 100 0-100 10000 9500 9000 8500 8000 7500 7000 6500 6000 2006.1-2007.5 A 1986.1-1991.12 6 7 6 27 WIND A 52.67 2007 44 8 60 55 50 45 40 35 30 25 20 15 10 2001-05 2002-02

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

平成 30 年度 ( 第 18 回 ) 電子航法研究所研究発表会 1 GBAS RNP RF RNP to xls xls ILS GLS GBAS Landing System SBAS LPV Localizer Performance with Vertical guidance RNP xl

平成 30 年度 ( 第 18 回 ) 電子航法研究所研究発表会 1 GBAS RNP RF RNP to xls xls ILS GLS GBAS Landing System SBAS LPV Localizer Performance with Vertical guidance RNP xl 1 GBAS RNP RF RNP to xls xls ILS GLSGBAS Landing System SBAS LPVLocalizer Performance with Vertical guidance RNP xls FAA PARCPerformance-based Operation Aviation Rulemaking Committee [1-7] ICAO PANS-OPSProcedure

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5>

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5> 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 年 度 博 士 资 格 考 试 实 施 细 则 一 总 则 本 细 则 由 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 ( 以 下 简 称 信 息 学 院 ) 教 学 指 导 委 员 会 讨 论 制 定, 适 用 于 信 息 学 院 2014 级 硕 士 研 究 生 的 年 度 博 士 资 格 考 试 信 息 学 院 2014

More information

MHz 10 MHz Mbps 1 C 2(a) 4 GHz MHz 56 Msps 70 MHz 70 MHz 23 MHz 14 MHz 23 MHz 2(b)

MHz 10 MHz Mbps 1 C 2(a) 4 GHz MHz 56 Msps 70 MHz 70 MHz 23 MHz 14 MHz 23 MHz 2(b) 2011 32 ANNALS OF SHANGHAI OBSERVATORY ACADEMIA SINICA No. 32, 2011 1,2,3 1 2,3 2,3 2,3 2 1 1 ( 1. 200030 2. 100094 3. 100094 ) V474 1 (CEI) ( VLBI ), CEI 100 nrad ( 50 km) CEI 10 100 km 2 2 2 CEI [1]

More information

第一章

第一章 課 程 名 稱 : 光 纖 傳 輸 實 務 與 實 習 1. 課 程 概 述 : 光 纖 傳 輸 實 務 與 實 習 為 隔 年 開 授 之 課 程, 此 高 等 課 程 實 習 項 目 之 內 容 較 具 彈 性, 以 教 導 學 生 如 何 使 用 設 計 工 具 與 發 揮 設 計 能 力 為 目 標 新 編 了 光 纖 光 放 大 器 模 擬 設 計 實 習 教 材, 包 含 摻 鉺 光 纖

More information

MTP200A 中文.docx

MTP200A 中文.docx MTP200A Wi-Fi / BT Tester 产品特点 Signal Generator/Signal Analyzer 信号发生器 / 信号分析仪 Wi-Fi 可选 BT_LE 可选 Waveform Creator Wi-Fi 各种波形 CW Mode 连续波 Color LCD 彩色显示屏 PC 可电脑控制 USB Port(USB To Serial) 和 GPIB 两种控制接口 USB

More information

填 表 须 知 一 本 申 报 书 适 用 于 申 报 安 徽 省 高 层 次 科 技 人 才 团 队 填 写 二 申 报 书 中 有 关 栏 目 需 选 择 填 写 的, 请 按 照 填 写 说 明 如 实 并 准 确 填 写 三 申 报 书 内 容 要 逐 项 填 写, 实 际 内 容 不 发

填 表 须 知 一 本 申 报 书 适 用 于 申 报 安 徽 省 高 层 次 科 技 人 才 团 队 填 写 二 申 报 书 中 有 关 栏 目 需 选 择 填 写 的, 请 按 照 填 写 说 明 如 实 并 准 确 填 写 三 申 报 书 内 容 要 逐 项 填 写, 实 际 内 容 不 发 安 徽 省 高 层 次 科 技 人 才 团 队 申 报 书 项 目 编 号 : 团 队 领 军 人 才 姓 名 : 叶 乐 所 从 事 专 业 或 产 业 领 域 : 电 子 科 学 与 技 术 公 司 拟 注 册 地 : 铜 陵 市 铜 官 山 区 单 位 地 址 : 北 京 市 海 淀 区 颐 和 园 路 5 号 微 纳 电 子 大 厦 543 邮 政 编 码 :100871 团 队 联 系 人

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

手册

手册 WWW.NPI.COM.CN SM WWW.NPI.COM.CN I...2 1.01 1.02 1.03 1.04 1.05...2...2...3...3 (FRONT-BACK RATIO)...3 II...5 2.01 2.02 2.03 2.04 2.05...5...5...5...6...6 III...7 IV...9 4.01 4.02 4.03 4.04...9...10...10...11

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

致 谢 开 始 这 篇 致 谢 的 时 候, 以 为 这 是 最 轻 松 最 愉 快 的 部 分, 而 此 时 心 头 却 充 满 了 沉 甸 甸 的 回 忆 和 感 恩, 一 时 间 竟 无 从 下 笔 虽 然 这 远 不 是 一 篇 完 美 的 论 文, 但 完 成 这 篇 论 文 要 感 谢

致 谢 开 始 这 篇 致 谢 的 时 候, 以 为 这 是 最 轻 松 最 愉 快 的 部 分, 而 此 时 心 头 却 充 满 了 沉 甸 甸 的 回 忆 和 感 恩, 一 时 间 竟 无 从 下 笔 虽 然 这 远 不 是 一 篇 完 美 的 论 文, 但 完 成 这 篇 论 文 要 感 谢 中 国 科 学 技 术 大 学 博 士 学 位 论 文 论 文 课 题 : 一 个 新 型 简 易 电 子 直 线 加 速 器 的 关 键 技 术 研 究 学 生 姓 名 : 导 师 姓 名 : 单 位 名 称 : 专 业 名 称 : 研 究 方 向 : 完 成 时 间 : 谢 家 麟 院 士 王 相 綦 教 授 国 家 同 步 辐 射 实 验 室 核 技 术 及 应 用 加 速 器 物 理 2006

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

DIGITAL VOICE RECORDER WS-33M WS-3M WS-3M CN 6 8 9 8 7 9 9 3 6 7 3 ................................................................................................ ........................................................................

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

EE Lecture 11 (Wireless Communications Systems)

EE Lecture 11 (Wireless Communications Systems) EE110300 Lecture 11 (Wireless Communications Systems) Contents ( ) (RF) (Antenna) m(t) m(t) 90 50 40 30 20 10 0 ( ) 10 300 330 0 30 60 270 90 240 210 180 150 120 (LAN) (VSAT ) (Pager) (Cellular Phone)

More information

USB PC LabVIEW SignalExpress Labview Vi Fig. 1 EMAT system based on orthogonal lock-in 5 amplifier PCB 15

USB PC LabVIEW SignalExpress Labview Vi Fig. 1 EMAT system based on orthogonal lock-in 5 amplifier PCB 15 21 9 2017 9 Electri c Machines and Control Vol. 21 No. 9 Sep. 2017 1 1 1 1 2 1. 300130 2. 300387 : ( electromagnetic acoustic transducer,emat), EMAT EMAT, EMAT, :, : DOI: 10. 15938 /j. emc. 2017. 09. 014

More information

大同大學數位論文

大同大學數位論文 ZIGBEE SIMULATION AND IMPLEMENTION OF AUTOMATIC POWER LEVEL CONTROL FOR ZIGBEE (Jun-Hong Yang) (Prof. Ching-Kuen Lee) Thesis for Master of Science Graduate Institute of Communication Engineering Tatung

More information

Microsoft Word - VA REV.A.doc

Microsoft Word - VA REV.A.doc Data Sheet Customer: Product : Multilayer Chip Varistor - VA Series Size: 0402/0405/0508/0603/0612/0805/1206/1210/1812 /2220 Issued Date: 22-Jan-11 Edition : REV.A VIKING TECH CORPORATION 光頡科技股份有限公司 No.70,

More information

山东省招生委员会

山东省招生委员会 附 件 2: 2012 年 度 山 东 大 学 精 品 课 程 申 报 表 课 程 名 称 课 程 负 责 人 所 属 院 系 网 站 建 设 与 设 计 连 莉 副 教 授 计 算 机 学 院 课 程 类 型 理 论 课 ( 不 含 实 践 ) 理 论 课 ( 含 实 践 ) 实 践 ( 验 ) 课 所 属 专 业 大 类 所 属 专 业 类 理 工 电 子 信 息 科 学 类 联 系 电 话 13256167020

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

IEC A( ) B C D II

IEC A( ) B C D II ICS 13.120 K 09 GB 4706.1 2005/IEC 60335-1:2004(Ed4.1) 1 Household and similar electrical appliances- Safety General requirements IEC60335-1 2004 Ed4.1,IDT 2005-08-26 2006-08-01 IEC 1 2 3 4 5 6 7 8 9 10

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

History 97 97Universal Universal 98Universal 98Magnetek Magnetek ighting Group Universal Technologies ighting Energy Saving Incorporation

History 97 97Universal Universal 98Universal 98Magnetek Magnetek ighting Group Universal Technologies ighting Energy Saving Incorporation 00V EECTROIC BAAST History 97 97Universal Universal 98Universal 98Magnetek 997 00Magnetek ighting Group Universal Technologies ighting 999 00Energy Saving Incorporation P. Company Profile Universal ighting

More information

****************************************************** Fundamentals of TV Tracking ****************************************************** ( ),,, :,,,,,, 1998 9 ( ISBN 7-118-01911-9),,, 1999 5 20 Email:

More information

untitled

untitled JABEE ED JABEE (ED) 2007 JABEE JABEE EDJABEE JABEE (Plan) (Do) (Do) (Do) (Check) (Action) ED 1 20022003 (1) (e) 20042007 (1) (e) WA (1)(e) JABEE (1/3) JABEE 1. 2. (2/3) JABEE 3. (3/3) JABEE 4. 5. 6. 2007

More information

untitled

untitled MC8630 MC8630 1.6 MC8630 C MC8630 Copyright 2008 by ZTE Corporation 2008 12 1 1 MC8630 CDMA/GPRS 1 2 3PCB 4 E-MAIL module.ztemt.com.cn module@zte.com.cn 0755-86140899 2 MC8630 MC8630 C MC8630 C 5 V1.1

More information

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma Power Electronics Testings www.chromaate.com Turn-key Solutions Provider www. chromaate. com Chroma 1. 62000H I-V (MPPT) 4 5 9 3 2. / 6630/66200 3. 6500/61500/61600 4. / 63800 Chroma Model 62000H 62000H

More information

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014)

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014) December 3, 2014 Toshiaki Iwata Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. USB3.0 / USB3 Vision Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. 2 Copyright 2014 TOSHIBA

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

[1-3] (Smile) [4] 808 nm (CW) W 1 50% 1 W 1 W Fig.1 Thermal design of semiconductor laser vertical stack ; Ansys 20 bar ; bar 2 25 Fig

[1-3] (Smile) [4] 808 nm (CW) W 1 50% 1 W 1 W Fig.1 Thermal design of semiconductor laser vertical stack ; Ansys 20 bar ; bar 2 25 Fig 40 6 2011 6 Vol.40 No.6 Infrared and Laser Engineering Jun. 2011 808 nm 2000 W 1 1 1 1 2 2 2 2 2 12 (1. 710119 2. 710119) : bar 808 nm bar 100 W 808 nm 20 bar 2 000 W bar LIV bar 808 nm : : TN248.4 TN365

More information