形成下一条指令地址 IR:Instruction Register, 指令寄存器, 其功能是存放当前正在执行的指令 CU:Control Unit, 控制单元 ( 部件 ), 为控制器的核心部件, 其功能是产生微操作命令序列 ALU:Arithmetic Logic Unit, 算术逻辑运算单元,

Size: px
Start display at page:

Download "形成下一条指令地址 IR:Instruction Register, 指令寄存器, 其功能是存放当前正在执行的指令 CU:Control Unit, 控制单元 ( 部件 ), 为控制器的核心部件, 其功能是产生微操作命令序列 ALU:Arithmetic Logic Unit, 算术逻辑运算单元,"

Transcription

1 第 1 章计算机系统概论 1. 什么是计算机系统 计算机硬件和计算机软件? 硬件和软件哪个更重要? 解 :P3 计算机系统 : 由计算机硬件系统和软件系统组成的综合体 计算机硬件 : 指计算机中的电子线路和物理装置 计算机软件 : 计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存, 缺一不可, 因此同样重要 5. 冯 诺依曼计算机的特点是什么? 解 : 冯 诺依曼计算机的特点是 :P8 计算机由运算器 控制器 存储器 输入设备 输出设备五大部件组成 ; 指令和数据以同同等地位存放于存储器内, 并可以按地址访问 ; 指令和数据均用二进制表示 ; 指令由操作码 地址码两大部分组成, 操作码用来表示操作的性质, 地址码用来表示操作数在存储器中的位置 ; 指令在存储器中顺序存放, 通常自动顺序取出执行 ; 机器以运算器为中心 ( 原始冯 诺依曼机 ) 7. 解释下列概念 : 主机 CPU 主存 存储单元 存储元件 存储基元 存储元 存储字 存储字长 存储容量 机器字长 指令字长 解 :P9-10 主机 : 是计算机硬件的主体部分, 由 CPU 和主存储器 MM 合成为主机 CPU: 中央处理器, 是计算机硬件的核心部件, 由运算器和控制器组成 ;( 早期的运算器和控制器不在同一芯片上, 现在的 CPU 内除含有运算器和控制器外还集成了 CACHE) 主存 : 计算机中存放正在运行的程序和数据的存储器, 为计算机的主要工作存储器, 可随机存取 ; 由存储体 各种逻辑部件及控制电路组成 存储单元 : 可存放一个机器字并具有特定存储地址的存储单位 存储元件 : 存储一位二进制信息的物理元件, 是存储器中最小的存储单位, 又叫存储基元或存储元, 不能单独存取 存储字 : 一个存储单元所存二进制代码的逻辑单位 存储字长 : 一个存储单元所存二进制代码的位数 存储容量 : 存储器中可存二进制代码的总量 ;( 通常主 辅存容量分开描述 ) 机器字长 : 指 CPU 一次能处理的二进制数据的位数, 通常与 CPU 的寄存器位数有关 指令字长 : 一条指令的二进制代码位数 8. 解释下列英文缩写的中文含义 : CPU PC IR CU ALU ACC MQ X MAR MDR I/O MIPS CPI FLOPS 解 : 全面的回答应分英文全称 中文名 功能三部分 CPU:Central Processing Unit, 中央处理机 ( 器 ), 是计算机硬件的核心部件, 主要由运算器和控制器组成 PC:Program Counter, 程序计数器, 其功能是存放当前欲执行指令的地址, 并可自动计数

2 形成下一条指令地址 IR:Instruction Register, 指令寄存器, 其功能是存放当前正在执行的指令 CU:Control Unit, 控制单元 ( 部件 ), 为控制器的核心部件, 其功能是产生微操作命令序列 ALU:Arithmetic Logic Unit, 算术逻辑运算单元, 为运算器的核心部件, 其功能是进行算术 逻辑运算 ACC:Accumulator, 累加器, 是运算器中既能存放运算前的操作数, 又能存放运算结果的寄存器 MQ:Multiplier-Quotient Register, 乘商寄存器, 乘法运算时存放乘数 除法时存放商的寄存器 X: 此字母没有专指的缩写含义, 可以用作任一部件名, 在此表示操作数寄存器, 即运算器中工作寄存器之一, 用来存放操作数 ; MAR:Memory Address Register, 存储器地址寄存器, 在主存中用来存放欲访问的存储单元的地址 MDR:Memory Data Register, 存储器数据缓冲寄存器, 在主存中用来存放从某单元读出 或要写入某存储单元的数据 I/O:Input/Output equipment, 输入 / 输出设备, 为输入设备和输出设备的总称, 用于计算机内部和外界信息的转换与传送 MIPS:Million Instruction Per Second, 每秒执行百万条指令数, 为计算机运算速度指标的一种计量单位 9. 画出主机框图, 分别以存数指令 STA M 和加法指令 ADD M ( M 均为主存地址 ) 为例, 在图中按序标出完成该指令 ( 包括取指令阶段 ) 的信息流程 ( 如 1) 假设主存容量为 256M*32 位, 在指令字长 存储字长 机器字长相等的条件下, 指出图中各寄存器的位数 解 : 主机框图如 P13 图 1.11 所示 (1)STA M 指令 :PC MAR,MAR MM,MM MDR,MDR IR, OP(IR) CU,Ad(IR) MAR,ACC MDR,MAR MM,WR (2)ADD M 指令 :PC MAR,MAR MM,MM MDR,MDR IR, OP(IR) CU,Ad(IR) MAR,RD,MM MDR,MDR X,ADD,ALU ACC, ACC MDR,WR 假设主存容量 256M*32 位, 在指令字长 存储字长 机器字长相等的条件下,ACC X IR MDR 寄存器均为 32 位,PC 和 MAR 寄存器均为 28 位 10. 指令和数据都存于存储器中, 计算机如何区分它们? 解 : 计算机区分指令和数据有以下 2 种方法 : 通过不同的时间段来区分指令和数据, 即在取指令阶段 ( 或取指微程序 ) 取出的为指令, 在执行指令阶段 ( 或相应微程序 ) 取出的即为数据 通过地址来源区分, 由 PC 提供存储单元地址的取出的是指令, 由指令地址码部分提供存储单元地址的取出的是操作数

3 第 2 章计算机的发展及应用 1. 通常计算机的更新换代以什么为依据? 答 :P22 主要以组成计算机基本电路的元器件为依据, 如电子管 晶体管 集成电路等 2. 举例说明专用计算机和通用计算机的区别 答 : 按照计算机的效率 速度 价格和运行的经济性和实用性可以将计算机划分为通用计算机和专用计算机 通用计算机适应性强, 但牺牲了效率 速度和经济性, 而专用计算机是最有效 最经济和最快的计算机, 但适应性很差 例如个人电脑和计算器 3. 什么是摩尔定律? 该定律是否永远生效? 为什么? 答 :P23, 否,P36 第 3 章系统总线 1. 什么是总线? 总线传输有何特点? 为了减轻总线负载, 总线上的部件应具备什么特点? 答 :P41. 总线是多个部件共享的传输部件 总线传输的特点是 : 某一时刻只能有一路信息在总线上传输, 即分时使用 为了减轻总线负载, 总线上的部件应通过三态驱动缓冲电路与总线连通 4. 为什么要设置总线判优控制? 常见的集中式总线控制有几种? 各有何特点? 哪种方式响应时间最快? 哪种方式对电路故障最敏感? 答 : 总线判优控制解决多个部件同时申请总线时的使用权分配问题 ; 常见的集中式总线控制有三种 : 链式查询 计数器定时查询 独立请求 ; 特点 : 链式查询方式连线简单, 易于扩充, 对电路故障最敏感 ; 计数器定时查询方式优先级设置较灵活, 对故障不敏感, 连线及控制过程较复杂 ; 独立请求方式速度最快, 但硬件器件用量大, 连线多, 成本较高 5. 解释下列概念 : 总线宽度 总线带宽 总线复用 总线的主设备 ( 或主模块 ) 总线的从设备 ( 或从模块 ) 总线的传输周期和总线的通信控制 答 :P46 总线宽度 : 通常指数据总线的根数 ; 总线带宽 : 总线的数据传输率, 指单位时间内总线上传输数据的位数 ; 总线复用 : 指同一条信号线可以分时传输不同的信号 总线的主设备 ( 主模块 ): 指一次总线传输期间, 拥有总线控制权的设备 ( 模块 ); 总线的从设备 ( 从模块 ): 指一次总线传输期间, 配合主设备完成数据传输的设备 ( 模块 ), 它只能被动接受主设备发来的命令 ; 总线的传输周期 : 指总线完成一次完整而可靠的传输所需时间 ; 总线的通信控制 : 指总线传送过程中双方的时间配合方式

4 6. 试比较同步通信和异步通信 答 : 同步通信 : 指由统一时钟控制的通信, 控制方式简单, 灵活性差, 当系统中各部件工作速度差异较大时, 总线工作效率明显下降 适合于速度差别不大的场合 异步通信 : 指没有统一时钟控制的通信, 部件间采用应答方式进行联系, 控制方式较同步复杂, 灵活性高, 当系统中各部件工作速度差异较大时, 有利于提高总线工作效率 8. 为什么说半同步通信同时保留了同步通信和异步通信的特点? 答 : 半同步通信既能像同步通信那样由统一时钟控制, 又能像异步通信那样允许传输时间不一致, 因此工作效率介于两者之间 10. 为什么要设置总线标准? 你知道目前流行的总线标准有哪些? 什么叫 plug and play? 哪些总线有这一特点? 答 : 总线标准的设置主要解决不同厂家各类模块化产品的兼容问题 ; 目前流行的总线标准有 :ISA EISA PCI 等 ; plug and play: 即插即用,EISA PCI 等具有此功能 11. 画一个具有双向传输功能的总线逻辑图 答 : 在总线的两端分别配置三态门, 就可以使总线具有双向传输功能 a0 b0 a1 b1 an bn a 至 b b 至 a 12. 设数据总线上接有 A B C D 四个寄存器, 要求选用合适的 74 系列芯片, 完成下列逻辑设计 : (1) 设计一个电路, 在同一时间实现 D A D B 和 D C 寄存器间的传送 ; (2) 设计一个电路, 实现下列操作 : T0 时刻完成 D 总线 ; T1 时刻完成总线 A; T2 时刻完成 A 总线 ; T3 时刻完成总线 B 解 :(1) 由 T 打开三态门将 D 寄存器中的内容送至总线 bus, 由 cp 脉冲同时将总线上的数据打入到 A B C 寄存器中 T 和 cp 的时间关系如图 (1) 所示

5 cp 脉冲 A B C 总线 bus T 三态门 D T cp 图 (1) (2) 三态门 1 受 T0+T1 控制, 以确保 T0 时刻 D 总线, 以及 T1 时刻总线 接收门 1 A 三态门 2 受 T2+T3 控制, 以确保 T2 时刻 A 总线, 以及 T3 时刻总线 接收门 2 B T0 T1 T2 T3 波形图如图 (2) 所示 图 (2)

6 第 4 章 3. 存储器的层次结构主要体现在什么地方? 为什么要分这些层次? 计算机如何管理这些层次? 答 : 存储器的层次结构主要体现在 Cache- 主存和主存 - 辅存这两个存储层次上 Cache- 主存层次在存储系统中主要对 CPU 访存起加速作用, 即从整体运行的效果分析,CPU 访存速度加快, 接近于 Cache 的速度, 而寻址空间和位价却接近于主存 主存 - 辅存层次在存储系统中主要起扩容作用, 即从程序员的角度看, 他所使用的存储器其容量和位价接近于辅存, 而速度接近于主存 综合上述两个存储层次的作用, 从整个存储系统来看, 就达到了速度快 容量大 位价低的优化效果 主存与 CACHE 之间的信息调度功能全部由硬件自动完成 而主存与辅存层次的调度目前广泛采用虚拟存储技术实现, 即将主存与辅存的一部分通过软硬结合的技术组成虚拟存储器, 程序员可使用这个比主存实际空间 ( 物理地址空间 ) 大得多的虚拟地址空间 ( 逻辑地址空间 ) 编程, 当程序运行时, 再由软 硬件自动配合完成虚拟地址空间与主存实际物理空间的转换 因此, 这两个层次上的调度或转换操作对于程序员来说都是透明的 4. 说明存取周期和存取时间的区别 解 : 存取周期和存取时间的主要区别是 : 存取时间仅为完成一次操作的时间, 而存取周期不仅包含操作时间, 还包含操作后线路的恢复时间 即 : 存取周期 = 存取时间 + 恢复时间 5. 什么是存储器的带宽? 若存储器的数据总线宽度为 32 位, 存取周期为 200ns, 则存储器的带宽是多少? 解 : 存储器的带宽指单位时间内从存储器进出信息的最大数量 存储器带宽 = 1/200ns 32 位 = 160M 位 / 秒 = 20MB/ 秒 = 5M 字 / 秒注意 : 字长 32 位, 不是 16 位 ( 注 :1ns=10-9 s) 6. 某机字长为 32 位, 其存储容量是 64KB, 按字编址它的寻址范围是多少? 若主存以字节编址, 试画出主存字地址和字节地址的分配情况 解 : 存储容量是 64KB 时, 按字节编址的寻址范围就是 64K, 如按字编址, 其寻址范围为 : 64K / (32/8)= 16K 主存字地址和字节地址的分配情况 :( 略 ) 7. 一个容量为 16K 32 位的存储器, 其地址线和数据线的总和是多少? 当选用下列不同规格的存储芯片时, 各需要多少片? 1K 4 位,2K 8 位,4K 4 位,16K 1 位,4K 8 位,8K 8 位解 : 地址线和数据线的总和 = = 46 根 ; 选择不同的芯片时, 各需要的片数为 : 1K 4:(16K 32) / (1K 4) = 16 8 = 128 片 2K 8:(16K 32) / (2K 8) = 8 4 = 32 片 4K 4:(16K 32) / (4K 4) = 4 8 = 32 片 16K 1:(16K 32)/ (16K 1) = 1 32 = 32 片 4K 8:(16K 32)/ (4K 8) = 4 4 = 16 片

7 8K 8:(16K 32) / (8K 8) = 2 4 = 8 片 8. 试比较静态 RAM 和动态 RAM 答 : 略 ( 参看课件 ) 9. 什么叫刷新? 为什么要刷新? 说明刷新有几种方法 解 : 刷新 : 对 DRAM 定期进行的全部重写过程 ; 刷新原因 : 因电容泄漏而引起的 DRAM 所存信息的衰减需要及时补充, 因此安排了定期刷新操作 ; 常用的刷新方法有三种 : 集中式 分散式 异步式 集中式 : 在最大刷新间隔时间内, 集中安排一段时间进行刷新, 存在 CPU 访存死时间 分散式 : 在每个读 / 写周期之后插入一个刷新周期, 无 CPU 访存死时间 异步式 : 是集中式和分散式的折衷 10. 半导体存储器芯片的译码驱动方式有几种? 解 : 半导体存储器芯片的译码驱动方式有两种 : 线选法和重合法 线选法 : 地址译码信号只选中同一个字的所有位, 结构简单, 费器材 ; 重合法 : 地址分行 列两部分译码, 行 列译码线的交叉点即为所选单元 这种方法通过行 列译码信号的重合来选址, 也称矩阵译码 可大大节省器材用量, 是最常用的译码驱动方式 11. 一个 8K 8 位的动态 RAM 芯片, 其内部结构排列成 形式, 存取周期为 0.1μ s 试问采用集中刷新 分散刷新和异步刷新三种方式的刷新间隔各为多少? 解 : 采用分散刷新方式刷新间隔为 :2ms, 其中刷新死时间为 : μ s=25.6μ s 采用分散刷新方式刷新间隔为 :256 (0.1μ s+ 0.1μ s)=51.2μ s 采用异步刷新方式刷新间隔为 :2ms 12. 画出用 位的存储芯片组成一个容量为 64K 8 位的存储器逻辑框图 要求将 64K 分成 4 个页面, 每个页面分 16 组, 指出共需多少片存储芯片 解 : 设采用 SRAM 芯片, 则 : 总片数 = (64K 8 位 ) / ( 位 )= 64 2 = 128 片题意分析 : 本题设计的存储器结构上分为总体 页面 组三级, 因此画图时也应分三级画 首先应确定各级的容量 : 页面容量 = 总容量 / 页面数 = 64K 8 / 4 = 16K 8 位,4 片 16K 8 字串联成 64K 8 位组容量 = 页面容量 / 组数 = 16K 8 位 / 16 = 1K 8 位,16 片 1K 8 位字串联成 16K 8 位组内片数 = 组容量 / 片容量 = 1K 8 位 / 1K 4 位 = 2 片, 两片 1K 4 位芯片位并联成 1K 8 位存储器逻辑框图 :( 略 ) 13. 设有一个 64K 8 位的 RAM 芯片, 试问该芯片共有多少个基本单元电路 ( 简称存储基元 )? 欲设计一种具有上述同样多存储基元的芯片, 要求对芯片字长的选择应满足地址线和数据线的总和为最小, 试确定这种芯片的地址线和数据线, 并说明有几种解答 解 : 存储基元总数 = 64K 8 位 = 512K 位 = 2 19 位 ;

8 思路 : 如要满足地址线和数据线总和最小, 应尽量把存储元安排在字向, 因为地址位数和字数成 2 的幂的关系, 可较好地压缩线数 解 : 设地址线根数为 a, 数据线根数为 b, 则片容量为 :2 a b = 2 19 ;b = 2 19-a ; 若 a = 19,b = 1, 总和 = 19+1 = 20; a = 18,b = 2, 总和 = 18+2 = 20; a = 17,b = 4, 总和 = 17+4 = 21; a = 16,b = 8, 总和 = 16+8 = 24; 由上可看出 : 片字数越少, 片字长越长, 引脚数越多 片字数减 1 片位数均按 2 的幂变化 结论 : 如果满足地址线和数据线的总和为最小, 这种芯片的引脚分配方案有两种 : 地址线 = 19 根, 数据线 = 1 根 ; 或地址线 = 18 根, 数据线 = 2 根 14. 某 8 位微型机地址码为 18 位, 若使用 4K 4 位的 RAM 芯片组成模块板结构的存储器, 试问 : (1) 该机所允许的最大主存空间是多少? (2) 若每个模块板为 32K 8 位, 共需几个模块板? (3) 每个模块板内共有几片 RAM 芯片? (4) 共有多少片 RAM? (5)CPU 如何选择各模块板? 解 :(1) 该机所允许的最大主存空间是 : 位 = 256K 8 位 = 256KB (2) 模块板总数 = 256K 8 / 32K 8 = 8 块 (3) 板内片数 = 32K 8 位 / 4K 4 位 = 8 2 = 16 片 (4) 总片数 = 16 片 8 = 128 片 (5)CPU 通过最高 3 位地址译码输出选择模板, 次高 3 位地址译码输出选择芯片 地址格式分配如下 : 模板号 (3 位 ) 芯片号 (3 位 ) 片内地址 (12 位 ) 15. 设 CPU 共有 16 根地址线,8 根数据线, 并用 MREQ( 低电平有效 ) 作访存控制信号, R / W 作读写命令信号 ( 高电平为读, 低电平为写 ) 现有下列存储芯片 :ROM(2K 8 位,4K 4 位, 8K 8 位 ),RAM(1K 4 位,2K 8 位,4K 8 位 ), 及 译码器和其他门电路 ( 门电路自定 ) 试从上述规格中选用合适芯片, 画出 CPU 和存储芯片的连接图 要求 : (1) 最小 4K 地址为系统程序区,4096~16383 地址范围为用户程序区 ; (2) 指出选用的存储芯片类型及数量 ; (3) 详细画出片选逻辑 解 :(1) 地址空间分配图 : 系统程序区 (ROM 共 4KB): 0000H-0FFFH 用户程序区 (RAM 共 12KB): 1000H-FFFFH (2) 选片 :ROM: 选择 4K 4 位芯片 2 片, 位并联 RAM : 选择 4K 8 位芯片 3 片, 字串联 (RAM1 地址范围 为 :1000H-1FFFH,RAM2 地址范围为 2000H-2FFFH, RAM3 地址范围为 :3000H-3FFFH) (3) 各芯片二进制地址分配如下 : A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0

9 ROM1, RAM RAM RAM MREQ A15 A14 A13 A12 A11 A CPU 和存储器连接逻辑图及片选逻辑如下图 (3) 所示 : A CPU ROM1 ROM2 RAM1 RAM2 RAM3 D0 D3 D4 D7 R/W G1 G2A G2B PD / PROG B C Y7 Y3 Y2 Y1 Y OE OE CS CS CS 图 (3) 16. CPU 假设同上题, 现有 8 片 8K 8 位的 RAM 芯片与 CPU 相连, 试回答 : (1) 用 译码器画出 CPU 与存储芯片的连接图 ; (2) 写出每片 RAM 的地址范围 ; (3) 如果运行时发现不论往哪片 RAM 写入数据后, 以 A000H 为起始地址的存储芯片都有与其相同的数据, 分析故障原因 (4) 根据 (1) 的连接图, 若出现地址线 A13 与 CPU 断线, 并搭接到高电平上, 将出现什么后果? 解 :(1)CPU 与存储器芯片连接逻辑图 :

10 MREQ A15 A14 A13 A12 A0 CPU D0 D7 R / W V G1 G2A G2B A B C Y7... Y2 Y1 Y RAM0... RAM WE WE WE RAM7... CS CS CS (2) 地址空间分配图 : RAM0:0000H-1FFFH RAM1:2000H-3FFFH RAM2:4000H-5FFFH RAM3:6000H-7FFFH RAM4:8000H-9FFFH RAM5:A000H-BFFFH RAM6:C000H-DFFFH RAM7:E000H-FFFFH (3) 如果运行时发现不论往哪片 RAM 写入数据后, 以 A000H 为起始地址的存储芯片 (RAM5) 都有与其相同的数据, 则根本的故障原因为 : 该存储芯片的片选输入端很可能总是处于低电平 假设芯片与译码器本身都是好的, 可能的情况有 : 1) 该片的 -CS 端与 -WE 端错连或短路 ; 2) 该片的 -CS 端与 CPU 的 -MREQ 端错连或短路 ; 3) 该片的 -CS 端与地线错连或短路 (4) 如果地址线 A13 与 CPU 断线, 并搭接到高电平上, 将会出现 A13 恒为 1 的情况 此时存储器只能寻址 A13=1 的地址空间 ( 奇数片 ),A13=0 的另一半地址空间 ( 偶数片 ) 将永远访问不到 若对 A13=0 的地址空间 ( 偶数片 ) 进行访问, 只能错误地访问到 A13=1 的对应空间 ( 奇数片 ) 中去 17. 写出 对应的汉明码 解 : 有效信息均为 n=4 位, 假设有效信息用 b4b3b2b1 表示校验位位数 k=3 位,(2 k >=n+k+1) 设校验位分别为 c1 c2 c3, 则汉明码共 4+3=7 位, 即 :c1c2b4c3b3b2b1 校验位在汉明码中分别处于第 位 c1=b4 b3 b1 c2=b4 b2 b1

11 c3=b3 b2 b1 当有效信息为 1100 时,c3c2c1=011, 汉明码为 当有效信息为 1101 时,c3c2c1=100, 汉明码为 当有效信息为 1110 时,c3c2c1=101, 汉明码为 当有效信息为 1111 时,c3c2c1=010, 汉明码为 已知收到的汉明码 ( 按配偶原则配置 ) 为 , 检查上述代码是否出错? 第几位出错? 解 : 假设接收到的汉明码为 :c1 c2 b4 c3 b3 b2 b1 纠错过程如下 : P1=c1 b4 b3 b1 P2=c2 b4 b2 b1 P3=c3 b3 b2 b1 如果收到的汉明码为 , 则 p3p2p1=011, 说明代码有错, 第 3 位 (b4 ) 出错, 有效信息为 :1100 如果收到的汉明码为 , 则 p3p2p1=111, 说明代码有错, 第 7 位 (b1 ) 出错, 有效信息为 :0110 如果收到的汉明码为 , 则 p3p2p1=110, 说明代码有错, 第 6 位 (b2 ) 出错, 有效信息为 :0010 如果收到的汉明码为 , 则 p3p2p1=001, 说明代码有错, 第 1 位 (c1 ) 出错, 有效信息为 : 某机字长 16 位, 常规的存储空间为 64K 字, 若想不改用其他高速的存储芯片, 而使访存速度提高到 8 倍, 可采取什么措施? 画图说明 解 : 若想不改用高速存储芯片, 而使访存速度提高到 8 倍, 可采取八体交叉存取技术,8 体交叉访问时序如下图 : 启动存储体 0 启动存储体 1 启动存储体 2 启动存储体 3 启动存储体 4 启动存储体 5 启动存储体 6 启动存储体 7 单体访存周期

12 18. 什么是 程序访问的局部性? 存储系统中哪一级采用了程序访问的局部性原理? 解 : 程序运行的局部性原理指 : 在一小段时间内, 最近被访问过的程序和数据很可能再次被访问 ; 在空间上, 这些被访问的程序和数据往往集中在一小片存储区 ; 在访问顺序上, 指令顺序执行比转移执行的可能性大 ( 大约 5:1 ) 存储系统中 Cache 主存层次采用了程序访问的局部性原理 25. Cache 做在 CPU 芯片内有什么好处? 将指令 Cache 和数据 Cache 分开又有什么好处? 答 :Cache 做在 CPU 芯片内主要有下面几个好处 : 1) 可提高外部总线的利用率 因为 Cache 在 CPU 芯片内,CPU 访问 Cache 时不必占用外部总线 2)Cache 不占用外部总线就意味着外部总线可更多地支持 I/O 设备与主存的信息传输, 增强了系统的整体效率 3) 可提高存取速度 因为 Cache 与 CPU 之间的数据通路大大缩短, 故存取速度得以提高 将指令 Cache 和数据 Cache 分开有如下好处 : 1) 可支持超前控制和流水线控制, 有利于这类控制方式下指令预取操作的完成 2) 指令 Cache 可用 ROM 实现, 以提高指令存取的可靠性 3) 数据 Cache 对不同数据类型的支持更为灵活, 既可支持整数 ( 例 32 位 ), 也可支持浮点数据 ( 如 64 位 ) 补充 : Cache 结构改进的第三个措施是分级实现, 如二级缓存结构, 即在片内 Cache(L1) 和主存之间再设一个片外 Cache(L2), 片外缓存既可以弥补片内缓存容量不够大的缺点, 又可在主存与片内缓存间起到平滑速度差的作用, 加速片内缓存的调入调出速度 30. 一个组相连映射的 CACHE 由 64 块组成, 每组内包含 4 块 主存包含 4096 块, 每块由 128 字组成, 访存地址为字地址 试问主存和高速存储器的地址各为几位? 画出主存地址格式 解 :cache 组数 :64/4=16,Cache 容量为 :64*128=2 13 字,cache 地址 13 位主存共分 4096/16=256 区, 每区 16 块主存容量为 :4096*128=2 19 字, 主存地址 19 位, 地址格式如下 : 主存字块标记 (8 位 ) 组地址 (4 位 ) 字块内地址 (7 位 )

13 第 6 章 12. 设浮点数格式为 : 阶码 5 位 ( 含 1 位阶符 ), 尾数 11 位 ( 含 1 位数符 ) 写出 51/128-27/1024 所对应的机器数 要求如下 : (1) 阶码和尾数均为原码 (2) 阶码和尾数均为补码 (3) 阶码为移码, 尾数为补码 解 : 据题意画出该浮点数的格式 : 阶符 1 位阶码 4 位数符 1 位尾数 10 位将十进制数转换为二进制 :x1= 51/128= B= 2-1 * B x2= -27/1024= B = 2-5 *( B) 则以上各数的浮点规格化数为 : (1)[x1] 浮 =1,0001; [x2] 浮 =1,0101; (2)[x1] 浮 =1,1111; [x2] 浮 =1,1011; (3)[x1] 浮 =0,1111; [x2] 浮 =0,1011; 设机器数字长为 16 位, 写出下列各种情况下它能表示的数的范围 设机器数采用一位符号位, 答案均用十进制表示 (1) 无符号数 ; (2) 原码表示的定点小数 (3) 补码表示的定点小数 (4) 补码表示的定点整数 (5) 原码表示的定点整数 (6) 浮点数的格式为 : 阶码 6 位 ( 含 1 位阶符 ), 尾数 10 位 ( 含 1 位数符 ) 分别写出其正数和负数的表示范围 (7) 浮点数格式同 (6), 机器数采用补码规格化形式, 分别写出其对应的正数和负数的真值范围 解 :(1) 无符号整数 : , 即 : ; 无符号小数 : , 即 : ; (2) 原码定点小数 : , 即 : (3) 补码定点小数 : , 即 : (4) 补码定点整数 : , 即 : (5) 原码定点整数 : , 即 : (6) 据题意画出该浮点数格式, 当阶码和尾数均采用原码, 非规格化数表示时 : 最大负数 = 1,11 111; , 即 最小负数 = 0,11 111; , 即 -( ) 2 31 则负数表示范围为 :-( ) 最大正数 = 0,11 111; , 即 ( ) 2 31 最小正数 = 1,11 111; , 即 则正数表示范围为 : ( ) 2 31

14 (7) 当机器数采用补码规格化形式时, 若不考虑隐藏位, 则最大负数 =1,00 000; , 即 最小负数 =0,11 111; , 即 则负数表示范围为 : 最大正数 =0,11 111; , 即 ( ) 2 31 最小正数 =1,00 000; , 即 则正数表示范围为 : ( ) 设机器数字长为 8 位 ( 包括一位符号位 ), 对下列各机器数进行算术左移一位 两位, 算术右移一位 两位, 讨论结果是否正确 [x1] 原 = ;[y1] 补 = ;[z1] 反 = ; [x2] 原 = ;[y2] 补 = ;[z2] 反 = ; [x3] 原 = ;[y3] 补 = ;[z3] 反 = 解 : 算术左移一位 : [x1] 原 = ; 正确 [x2] 原 = ; 溢出 ( 丢 1) 出错 [x3] 原 = ; 正确 [y1] 补 = ; 溢出 ( 丢 1) 出错 [y2] 补 = ; 正确 [y3] 补 = ; 溢出 ( 丢 0) 出错 [z1] 反 = ; 溢出 ( 丢 0) 出错 [z2] 反 = ; 正确 [z3] 反 = ; 溢出 ( 丢 0) 出错算术左移两位 : [x1] 原 = ; 正确 [x2] 原 = ; 溢出 ( 丢 11) 出错 [x3] 原 = ; 正确 [y1] 补 = ; 溢出 ( 丢 10) 出错 [y2] 补 = ; 正确 [y3] 补 = ; 溢出 ( 丢 00) 出错 [z1] 反 = ; 溢出 ( 丢 01) 出错 [z2] 反 = ; 正确 [z3] 反 = ; 溢出 ( 丢 00) 出错算术右移一位 : [x1] 原 = ; 正确 [x2] 原 = ; 正确 [x3] 原 = (1); 丢 1, 产生误差 [y1] 补 = ; 正确 [y2] 补 = ; 正确 [y3] 补 = (1); 丢 1, 产生误差 [z1] 反 = ; 正确 [z2] 反 = (0); 丢 0, 产生误差 [z3] 反 = ; 正确算术右移两位 :

15 [x1] 原 = (10); 产生误差 [x2] 原 = ; 正确 [x3] 原 = (01); 产生误差 [y1] 补 = ; 正确 [y2] 补 = ; 正确 [y3] 补 = (01); 产生误差 [z1] 反 = ; 正确 [z2] 反 = (00); 产生误差 [z3] 反 = (01); 产生误差 19. 设机器数字长为 8 位 ( 含 1 位符号位 ), 用补码运算规则计算下列各题 (1)A=9/64, B=-13/32, 求 A+B (2)A=19/32,B=-17/128, 求 A-B (3)A=-3/16,B=9/32, 求 A+B (4)A=-87,B=53, 求 A-B (5)A=115,B=-24, 求 A+B 解 :(1)A=9/64= B, B= -13/32= B [A] 补 = , [B] 补 = [A+B] 补 = = 无溢出 A+B= B = -17/64 (2)A=19/32= B, B= -17/128= B [A] 补 = , [B] 补 = , [-B] 补 = [A-B] 补 = = 无溢出 A-B= B = 93/128B (3)A= -3/16= B, B=9/32= B [A] 补 = , [B] 补 = [A+B] 补 = = 无溢出 A+B= B = 3/32 (4) A= -87= B, B=53= B [A] 补 = , [B] 补 = , [-B] 补 = [A-B] 补 = = 溢出 (5)A=115= B, B= -24= B [A] 补 = , [B] 补 =1, [A+B] 补 = = 无溢出 A+B= B = 按机器补码浮点运算步骤, 计算 [x±y] 补. (1)x= ,y=2-010 ( ); (2)x=2-011 ( ), y=2-010 ( ); (3)x=2 101 ( ), y=2 100 ( ) 解 : 先将 x y 转换成机器数形式 : (1)x= ,y=2-010 ( ) [x] 补 =1,101; , [y] 补 =1,110; [Ex] 补 =1,101, [y] 补 =1,110, [Mx] 补 = , [My] 补 =

16 1) 对阶 : [ E] 补 =[Ex] 补 +[-Ey] 补 = 11, ,010=11,111 < 0, 应 Ex 向 Ey 对齐, 则 :[Ex] 补 +1=11,101+00,001=11,110 = [Ey] 补 [x] 补 =1,110; ) 尾数运算 : [Mx] 补 +[My] 补 = = [Mx] 补 +[-My] 补 = = ) 结果规格化 : [x+y] 补 =11,110; = 11,011; ( 尾数左规 3 次, 阶码减 3) [x-y] 补 =11,110; , 已是规格化数 4) 舍入 : 无 5) 溢出 : 无则 :x+y=2-101 ( ) x-y = (2)x=2-011 ( ),y=2-010 ( ) [x] 补 =1,101; , [y] 补 =1,110; ) 对阶 : 过程同 (1) 的 1), 则 [x] 补 =1,110; ) 尾数运算 : [Mx] 补 +[My] 补 = = [Mx] 补 +[-My] 补 = = ) 结果规格化 : [x+y] 补 =11,110; , 已是规格化数 [x-y] 补 =11,110; =11,100; ( 尾数左规 2 次, 阶码减 2) 4) 舍入 : 无 5) 溢出 : 无则 :x+y=2-010 ( ) x-y = (3)x=2 101 ( ),y=2 100 ( ) [x] 补 =0,101; , [y] 补 =0,100; ) 对阶 : [ E] 补 =00,101+11,100=00,001 >0, 应 Ey 向 Ex 对齐, 则 : [Ey] 补 +1=00,100+00,001=00,101=[Ex] 补 [y] 补 =0,101; (1) 2) 尾数运算 : [Mx] 补 +[My] 补 = (1)= (1) [Mx] 补 +[-My] 补 = (1)= (1) 2) 结果规格化 : [x+y] 补 =00,101; (1), 已是规格化数 [x-y] 补 =00,101; (1)=00,100; ( 尾数左规 1 次, 阶码减 1) 4) 舍入 :

17 [x+y] 补 =00,101; ( 舍 ) [x-y] 补不变 5) 溢出 : 无则 :x+y=2 101 ( ) x-y =2 100 ( ) 32. 设机器字长为 16 位, 分别按 和 分组后, (1) 画出按两种分组方案的单重分组并行进位链框图, 并比较哪种方案运算速度快 (2) 画出按两种分组方案的双重分组并行进位链框图, 并对这两种方案进行比较 (3) 用 和 画出单重和双重分组的并行进位链框图 解 :(1) 分组的 16 位单重分组并行进位链框图见教材 286 页图 分组的 16 位单重分组并行进位链框图如下 : (2) 分组的 16 位双重分组并行进位链框图见教材 289 页图 分组的 16 位双重分组并行进位链框图如下 : 分组的进位时间 =2.5ty 3=7.5ty; 分组的进位时间 =2.5ty 3=7.5ty; 可见, 两种分组方案最长加法时间相同 结论 : 双重分组并行进位的最长进位时间只与组数和级数有关, 与组内位数无关 (3) 单重分组 16 位并行加法器逻辑图如下 ( 正逻辑 ): 注意 : 1)74181 芯片正 负逻辑的引脚表示方法 ; 2) 为强调可比性, 分组时不考虑扇入影响 ; 3)181 芯片只有最高 最低两个进位输入 / 输出端, 组内进位无引脚 ; 4)181 为 4 位片, 无法 分组, 只能 分组 ; 5) 单重分组跳跃进位只用到 181, 使用 182 的一定是双重以上分组跳跃进位 ; 6) 单重分组跳跃进位是并行进位和串行进位技术的结合 ; 双重分组跳跃进位是二级并行进位技术 ; 特别注意在位数较少时, 双重分组跳跃进位可以采用全先行进位技术实现 ; 位数较多时, 可采用双重分组跳跃进位和串行进位技术结合实现

18 第 7 章 1. 什么叫机器指令? 什么叫指令系统? 为什么说指令系统与机器的主要功能以及与硬件结构 之间存在着密切的关系? 答 : 参考 P 什么叫寻址方式? 为什么要学习寻址方式? 答 : 参看 P 什么是指令字长 机器字长和存储字长? 答 : 略 4. 零地址指令的操作数来自哪里?? 各举一例说明 答 : 零地址指令的操作数来自 ACC, 为隐含约定 在一地址指令中, 另一个操作数的地址通常可采用 ACC 隐含寻址方式获得 5. 对于二地址指令而言, 操作数的物理地址可安排在什么地方? 举例说明 答 : 对于二地址指令而言, 操作数的物理地址可安排在寄存器内 指令中或内存单元内等 8. 某机指令字长 16 位, 每个操作数的地址码为 6 位, 设操作码长度固定, 指令分为零地址 一地址和二地址三种格式 若零地址指令有 M 条, 一地址指令有 N 种, 则二地址指令最多有几种? 若操作码位数可变, 则二地址指令最多允许有几种? 解 :1) 若采用定长操作码时, 二地址指令格式如下 : OP(4 位 ) A1(6 位 ) A2(6 位 ) 设二地址指令有 K 种, 则 :K=2 4 -M-N 当 M=1( 最小值 ),N=1( 最小值 ) 时, 二地址指令最多有 :Kmax=16-1-1=14 种 3) 若采用变长操作码时, 二地址指令格式仍如 1) 所示, 但操作码长度可随地址码的个数而变 此时,K= 2 4 -(N/2 6 + M/2 12 ); 当 (N/2 6 + M/2 12 ) 1 时 (N/2 6 + M/2 12 向上取整 ),K 最大, 则二地址指令最多有 : Kmax=16-1=15 种 ( 只留一种编码作扩展标志用 ) 9. 试比较间接寻址和寄存器间接寻址 答 : 略 10. 试比较基址寻址和变址寻址 略 11. 画出先变址再间址及先间址再变址的寻址过程示意图 解 :1) 先变址再间址寻址过程简单示意如下 : EA=[(IX)+A],IX (IX)+1 2) 先间址再变址寻址过程简单示意如下 :EA=(IX)+(A),IX (IX) 某机主存容量为 4M 16 位, 且存储字长等于指令字长, 若该机指令系统可完成 108 种操作,

19 操作码位数固定, 且具有直接 间接 变址 基址 相对 立即等六种寻址方式, 试回答 :(1) 画出一地址指令格式并指出各字段的作用 ; (2) 该指令直接寻址的最大范围 ; (3) 一次间址和多次间址的寻址范围 ; (4) 立即数的范围 ( 十进制表示 ); (5) 相对寻址的位移量 ( 十进制表示 ); (6) 上述六种寻址方式的指令哪一种执行时间最短? 哪一种最长? 为什么? 哪一种便于程序浮动? 哪一种最适合处理数组问题? (7) 如何修改指令格式, 使指令的寻址范围可扩大到 4M? (8) 为使一条转移指令能转移到主存的任一位置, 可采取什么措施? 简要说明之 解 :(1) 单字长一地址指令格式 : OP(7 位 ) M(3 位 ) A(6 位 ) OP 为操作码字段, 共 7 位, 可反映 108 种操作 ; M 为寻址方式字段, 共 3 位, 可反映 6 种寻址操作 ; A 为地址码字段, 共 =6 位 (2) 直接寻址的最大范围为 2 6 =64 (3) 由于存储字长为 16 位, 故一次间址的寻址范围为 2 16 ; 若多次间址, 需用存储字的最高位来区别是否继续间接寻址, 故寻址范围为 2 15 (4) 立即数的范围为 ( 有符号数 ), 或 0 63( 无符号数 ) (5) 相对寻址的位移量为 (6) 上述六种寻址方式中, 因立即数由指令直接给出, 故立即寻址的指令执行时间最短 间接寻址在指令的执行阶段要多次访存 ( 一次间接寻址要两次访存, 多次间接寻址要多次访存 ), 故执行时间最长 变址寻址由于变址寄存器的内容由用户给定, 而且在程序的执行过程中允许用户修改, 而其形式地址始终不变, 故变址寻址的指令便于用户编制处理数组问题的程序 相对寻址操作数的有效地址只与当前指令地址相差一定的位移量, 与直接寻址相比, 更有利于程序浮动 (7) 方案一 : 为使指令寻址范围可扩大到 4M, 需要有效地址 22 位, 此时可将单字长一地址指令的格式改为双字长, 如下图示 : OP(7 位 ) MOD(3 位 ) A( 高 6 位 ) A( 低 16 位 ) 方案二 : 如果仍采用单字长指令 (16 位 ) 格式, 为使指令寻址范围扩大到 4M, 可通过段寻址方案实现 安排如下 : 硬件设段寄存器 DS(16 位 ), 用来存放段地址 在完成指令寻址方式所规定的寻址操作后, 得有效地址 EA(6 位 ), 再由硬件自动完成段寻址, 最后得 22 位物理地址 即 : 物理地址 = (DS) EA 注 : 段寻址方式由硬件隐含实现 在编程指定的寻址过程完成 EA 产生之后由硬件自动完成, 对用户是透明的 方案三 : 在采用单字长指令 (16 位 ) 格式时, 还可通过页面寻址方案使指令寻址范围扩大到 4M 安排如下: 硬件设页面寄存器 PR(16 位 ), 用来存放页面地址 指令寻址方式中增设页面寻址 当需要使指令寻址范围扩大到 4M 时, 编程选择页面寻址方式, 则 :EA =(PR) A ( 有效地址 = 页面地址 拼接 6 位形式地址 ), 这样得到 22 位有效地址 (8) 为使一条转移指令能转移到主存的任一位置, 寻址范围须达到 4M, 除了采用 (7) 方案一中的双字长一地址指令的格式外, 还可配置 22 位的基址寄存器或 22 位的变址寄存器, 使 EA =

20 (BR) + A (BR 为 22 位的基址寄存器 ) 或 EA =(IX)+ A(IX 为 22 位的变址寄存器 ), 便可访问 4M 存储空间 还可以通过 16 位的基址寄存器左移 6 位再和形式地址 A 相加, 也可达到同样的效果 总之, 不论采取何种方式, 最终得到的实际地址应是 22 位 19. 某 CPU 内有 32 个 32 位的通用寄存器, 设计一种能容纳 64 种操作的指令系统 假设指令字长等于机器字长, 试回答以下问题 : (1) 如果主存可直接或间接寻址, 采用寄存器 存储器型指令, 能直接寻址的最大存储空间是多少? 画出指令格式并说明各字段的含义 (2) 在满足 (1) 的前提下, 如果采用通用寄存器作基址寄存器, 则上述寄存器 存储器型指令的指令格式有何特点? 画出指令格式并指出这类指令可访问多大的存储空间? 解 :(1) 如采用 RS 型指令, 则此指令一定是二地址以上的地址格式, 指令格式如下 : OP(6 位 ) R(5 位 ) I(1 位 ) A(20 位 ) 操作码字段 OP 占 6 位, 因为 2 6 >=64; 寄存器编号 R 占 5 位, 因为 2 5 >=32; 间址位 I 占 1 位, 当 I=0, 存储器寻址的操作数为直接寻址, 当 I=1 时为间接寻址 ; 形式地址 A 占 20 位, 可以直接寻址 2 20 字 (2) 如采用基址寻址, 则指令格式中应给出基址寄存器号, 以指定哪一个通用寄存器用作基址寄存器 指令格式变为 : OP(6 位 ) 源 R(5 位 ) I(1 位 ) X(1 位 ) 目标 R(5 位 ) A(14 位 ) 增加寻址特征位 X, 当 X=1 时, 以目标寄存器 R 作为基址寄存器进行基址寻址 基址寻址可访问存储空间为 :2 32 字

21 第八章 1. CPU 有哪些功能? 画出其结构框图并简要说明各个部件的作用 答 : 参考 P328 和图 什么是指令周期? 指令周期是否有一个固定值? 为什么? 解 : 指令周期是指取出并执行完一条指令所需的时间 由于计算机中各种指令执行所需的时间差异很大, 因此为了提高 CPU 运行效率, 即使在同步控制的机器中, 不同指令的指令周期长度都是不一致的, 也就是说指令周期对于不同的指令来说不是一个固定值 3. 画出指令周期的流程图, 分析说明图中每个子周期的作用 答 : 参看 P343 及图 设 CPU 内有下列部件 :PC IR SP AC MAR MDR 和 CU (1) 画出完成间接寻址的取数指令 LDA@X( 将主存某地址单元 X 的内容取至 AC 中 ) 的数据流 ( 从取指令开始 ) (2) 画出中断周期的数据流 解 :CPU 中的数据流向与所采用的数据通路结构直接相关, 不同的数据通路中的数据流是不一样的 常用的数据通路结构方式有直接连线 单总线 双总线 三总线等形式, 目前大多采用总线结构, 直接连线方式仅适用于结构特别简单的机器中 为简单起见, 本题采用单总线将题中所给部件连接起来, 框图如下 : bus PC MAR SP MDR CU IR AC 地址线数据线控制线 (1)LDA@X 指令周期数据流程图 :

22 PC MAR M(MAR) MDR (MDR) IR PC+1 PC Ad(IR) MAR M(MAR) MDR MDR Ad(IR) Ad(IR) MAR M(MAR) MDR MDR AC (2) 中断周期流程图如下 : SP-1 SP SP MAR PC MDR MDR M(MAR) 向量地址 PC 0 EINT 注 : 解这道题有两个要素, 首先要根据所给部件设计好数据通路, 即确定信息流动的载体 其次选择好描述数据流的方法, 无论采用什么样的表达方式, 其关键都要能清楚地反映数据在通路上流动的顺序, 即强调一个 流 字 较好的表达方式是流程图的形式 5. 中断周期前是什么阶段? 中断周期后又是什么阶段? 在中断周期 CPU 应完成什么操作? 答 : 中断周期前是执行周期, 中断周期后是取指周期 在中断周期,CPU 应完成保存断点 将中 断向量送 PC 和关中断等工作 7. 什么叫系统的并行性? 粗粒度并行和细粒度并行有何区别? 答 : 所谓并行性包含同时性和并发性 同时性是指两个或两个以上的事件在同一时刻发生, 并发性是指两个或多个事件在同一时间段发生 即在同一时刻或同一时间段内完成两个或两个以上性质相同或性质不同的功能, 只要在时间上存在相互重叠, 就存在并行性 并行性又分为粗粒度并行和细粒度并行两类 粗粒度并行是指在多个处理机上分别运行多个进程, 由多台处理机合作完成一个程序, 一般用算法实现 细粒度并行是指在处理机的指令级

23 和操作级的并行性 8. 什么是指令流水? 画出指令二级流水和四级流水的示意图, 它们中哪个更能提高处理机速度, 为什么? 答 : 指令流水是指将一条指令的执行过程分为 n 个操作时间大致相等的阶段, 每个阶段由一个独立的功能部件来完成, 这样 n 个部件就可以同时执行 n 条指令的不同阶段, 从而大大提高 CPU 的吞吐率 指令二级流水和四级流水示意图如下 : IF,ID EX,WR IF ID EX WR IF,ID EX,WR IF ID EX WR IF,ID EX,WR IF ID EX WR 二级指令流水示意图 四级指令流水示意图 四级流水更能提高处理机的速度 分析如下 : 假设 IF ID EX WR 每个阶段耗时为 t, 则连续执行 n 条指令采用二级流水线时, 耗时为 :4t+(n-1)2t=(2n+2)t 采用四级流水线时, 耗时为 :4t+(n-1)t=(n+3)t 在 n>1 时,n+3<2n+2, 可见四级流水线耗时比二级流水线耗时短, 因此更能提高处理机速度 17. 在中断系统中 INTR INT EINT 三个触发器各有何作用? 解 :INTR 中断请求触发器, 用来登记中断源发出的随机性中断请求信号, 以便为 CPU 查询中断及中断排队判优线路提供稳定的中断请求信号 EINT 中断允许触发器,CPU 中的中断总开关 当 EINT=1 时, 表示允许中断 ( 开中断 ), 当 EINT=0 时, 表示禁止中断 ( 关中断 ) 其状态可由开 关中断等指令设置 INT 中断标记触发器, 控制器时序系统中周期状态分配电路的一部分, 表示中断周期标记 当 INT=1 时, 进入中断周期, 执行中断隐指令的操作 24. 现有 A B C D 四个中断源, 其优先级由高向低按 A B C D 顺序排列 若中断服务程序的执行时间为 20µs, 请根据下图所示时间轴给出的中断源请求中断的时刻, 画出 CPU 执行程序的轨迹 解 :A B C D 的响优先级即处理优先级 CPU 执行程序的轨迹图如下 : 程序 D 服务 D 服务 A 服务 A 服务 B 服务 C 服务 B 服务 C 服务 B 服务 B 服务 t/us B 与 C 请求 D 请求 B 请求 A 请求 25. 某机有五个中断源 L0 L1 L2 L3 L4, 按中断响应的优先次序由高向低排序为 L0

24 L1 L2 L3 L4, 根据下示格式, 现要求中断处理次序改为 L1 L4 L2 L0 L3, 根据下面的 格式, 写出各中断源的屏蔽字 解 : 各中断源屏蔽状态见下表 : 中断源 屏蔽字 I I I I I 表中 : 设屏蔽位 =1, 表示屏蔽 ; 屏蔽位 =0, 表示中断开放 26. 设某机配有 A B C 三台设备, 其优先顺序按 A B C 降序排列, 为改变中断处理次序, 它们的中断屏蔽字设置如下 : 设备屏蔽字 A 111 B 010 C 011 请按下图所示时间轴给出的设备请求中断的时刻, 画出 CPU 执行程序的轨迹 设 A B C 中断服务程序的执行时间均为 20 s 解 :A B C 设备的响应优先级为 A 最高 B 次之 C 最低, 处理优先级为 A 最高 C 次之 B 最低 CPU 执行程序的轨迹图如下 : 程序 A 服务 B 服务 C 服务 t/us A 请求 B 请求 C 请求

25 第九章 2. 控制单元的功能是什么? 其输入受什么控制? 答 : 控制单元的主要功能是发出各种不同的控制信号 其输入受时钟信号 指令寄存器的操作码 字段 标志和来自系统总线的控制信号的控制 3. 什么是指令周期 机器周期和时钟周期? 三者有何关系? 答 :CPU 每取出并执行一条指令所需的全部时间叫指令周期 ; 机器周期是在同步控制的机器中, 执行指令周期中一步相对完整的操作 ( 指令步 ) 所需时间, 通常安排机器周期长度等于主存周期 ; 时钟周期是指计算机主时钟的周期时间, 它是计算机运行时最基本的时序单位, 对应完成一个微操作所需时间, 通常时钟周期等于计算机主频的倒数 4. 能不能说机器的主频越快, 机器的速度就越快, 为什么? 解 : 不能说机器的主频越快, 机器的速度就越快 因为机器的速度不仅与主频有关, 还与数据通 路结构 时序分配方案 ALU 运算能力 指令功能强弱等多种因素有关, 要看综合效果 5. 设机器 A 的主频为 8MHz, 机器周期含 4 个时钟周期, 且该机的平均指令执行速度是 0.4MIPS, 试求该机的平均指令周期和机器周期, 每个指令周期中含几个机器周期? 如果机器 B 的主频为 12MHz, 且机器周期也含 4 个时钟周期, 试问 B 机的平均指令执行速度为多少 MIPS? 解 : 先通过 A 机的平均指令执行速度求出其平均指令周期, 再通过主频求出时钟周期, 然后进一步求出机器周期 B 机参数的算法与 A 机类似 计算如下 : A 机平均指令周期 =1/0.4MIPS=2.5µs A 机时钟周期 =1/8MHz=125ns A 机机器周期 =125ns 4=500ns=0.5µs A 机每个指令周期中含机器周期个数 =2.5µs 0.5µs=5 个 B 机时钟周期 =1/12MHz 83ns B 机机器周期 =83ns 4=332ns 设 B 机每个指令周期也含 5 个机器周期, 则 : B 机平均指令周期 =332ns 5=1.66µs B 机平均指令执行速度 =1/1.66µs=0.6MIPS 结论 : 主频的提高有利于机器执行速度的提高 6. 设某机主频为 8MHz, 每个机器周期平均含 2 个时钟周期, 每条指令平均有 4 个机器周期, 试问该机的平均指令执行速度为多少 MIPS? 若机器主频不变, 但每个机器周期平均含 4 个时钟周期, 每条指令平均有 4 个机器周期, 则该机的平均指令执行速度又是多少 MIPS? 由此可得出什么结论? 解 : 先通过主频求出时钟周期, 再求出机器周期和平均指令周期, 最后通过平均指令周期的倒数求出平均指令执行速度 计算如下 : 时钟周期 =1/8MHz= s 机器周期 = s 2= s 平均指令周期 = s 4=10-6 s 平均指令执行速度 =1/10-6 s=1mips

26 当参数改变后 : 机器周期 = s 4= s 平均指令周期 = s 4= s 平均指令执行速度 =1/( s) =0.5MIPS 结论 : 两个主频相同的机器, 执行速度不一定一样 7. 某 CPU 的主频为 10MHz, 若已知每个机器周期平均包含 4 个时钟周期, 该机的平均指令执行速度为 1MIPS, 试求该机的平均指令周期及每个指令周期含几个机器周期? 若改用时钟周期为 0.4µs 的 CPU 芯片, 则计算机的平均指令执行速度为多少 MIPS? 若要得到平均每秒 80 万次的指令执行速度, 则应采用主频为多少的 CPU 芯片? 解 : 先通过主频求出时钟周期时间, 再进一步求出机器周期和平均指令周期 时钟周期 =1/10MHz= s 机器周期 = s 4= s 平均指令周期 =1/1MIPS=10-6 s 每个指令周期所含机器周期个数 =10-6 s / s =2.5 个当芯片改变后 : 机器周期 =0.4µs 4=1.6µs 平均指令周期 =1.6µs 2.5=4µs 平均指令执行速度 =1/4µs=0.25MIPS 若要得到平均每秒 80 万次的指令执行速度, 则 : 平均指令周期 =1/0.8MIPS= =1.25µs 机器周期 =1.25µs 2.5=0.5µs 时钟周期 = 0.5µs 4=0.125µs CPU 主频 =1/0.125µs=8MHz 8. 某计算机的主频为 6MHz, 各类指令的平均执行时间和使用频度如下表所示, 试计算该机的速度 ( 单位用 MIPS 表示 ), 若上述 CPU 芯片升级为 10MHz, 则该机的速度又为多少? 指令类别存取加 减 比较 转移乘除其它平均指令执行时间 0.6µs 0.8µs 10µs 1.4µs 使用频度 35% 45% 5% 15% 解 : (1) 指令平均运行时间 =( )μ s= 1.28μ s 机器平均运行速度 = 1/1.28μ s 0.78MIPS (2) 时钟周期 = 1/6MHz 0.167μ s 指令平均运行周期数 = 1.28μ s 0.167μ s 7.66CPI 若 CPU 芯片升级为 10MHz, 时钟周期 = 1/10MHz=0.1μ s 指令平均运行时间 = 0.1μ s 7.66=0.766μ s 机器平均运行速度 = 1/0.766μ s 1.3MIPS 10. 试比较同步控制 异步控制和联合控制的区别 答 : 同步控制是指任何一条指令或指令中任何一个微操作的执行都是事先确定的, 并且都受同一基准时标的时序信号所控制的方式 异步控制无基准时标信号, 微操作的时序是由专门的应答线路控制, 即控制单元发出执行某一微操作的控制信号后, 等待执行部件完成了该操作后发回 回答 或 结束 信号, 再开始新的微操作 联合控制是同步控制和异步控制相结合的方式, 即大多数操作 ( 如 CPU 内部各操作 ) 在同步时序信号的控制下进行, 少数时间难以确定的微操作 ( 如涉及 I/O 操作 ) 采用异步控制

27 11. 设 CPU 内部结构如图 9.4 所示, 此外还设有 B C D E H L 六个寄存器, 它们各自的输入和输出端都与内部总线相通, 并分别受控制信号控制 ( 如 Bi 为寄存器 B 的输入控制 ;Bo 为 B 的输出控制 ) 要求从取指令开始, 写出完成下列指令所需的全部微操作和控制信号 (1)ADD B,C ((B)+(C) B) (2)SUB A,H ((AC)-(H) AC) 解 : 先画出相应指令的流程图, 然后将图中每一步数据通路操作分解成相应的微操作, 再写出同名的微命令即可 (1) ADD B,C 指令流程及微命令序列如下 : 取指周期 执行周期 PC BUS MAR M(MAR) MDR MDR BUS IR PC+1 PC B BUS Y C BUS ALU Y-BUS Z Z BUS AC PCo,MARi MARo,MDRi MDRo,IRi +1 Bo,Yi Co,ALUi Yo, +,Zi Zo,Bi (2) SUB A,H 指令流程及微命令序列如下 : 取指周期 执行周期 PC BUS MAR M(MAR) MDR MDR BUS IR PC+1 PC AC BUS Y H BUS ALU AC-BUS Z Z BUS AC PCo,MARi MARo,MDRi MDRo,IRi +1 ACo,Yi Ho,ALUi ACo, -,Zi Zo,ACi 13. 设 CPU 内部结构如图 9.4 所示, 此外还设有 R1~R4 四个寄存器, 它们各自的输入和输出端都 与内部总线相通, 并分别受控制信号控制 ( 如 R2i 为寄存器 R2 的输入控制 ;R2o 为 R2 的输出控 制 ) 要求从取指令开始, 写出完成下列指令所需的全部微操作和控制信号

28 (1)ADD ; ((R2)+((R4)) R2, 寄存器间接寻址 ) (2)SUB R1,@mem ; ((R1)-((mem)) R1, 存储器间接寻址 ) 解 :(1)ADD R2,@R4 的指令周期信息流程图及微操作控制信号如下 : 取指周期 间址周期 执行周期 PC BUS MAR M(MAR) MDR MDR BUS IR PC+1 PC R4 BUS Ad(IR) Ad(IR) MAR M(MAR) MDR MDR BUS Y R2 BUS ALU BUS+Y Z Z BUS R2 PCo,MARi MARo,1 R,MDRi MDRo,IRi +1 R4o,IRi IRo,MARi MARo,1 R,MDRi MDRo,Yi R2o,ALUi Yo, +,Zi Zo,R2i (2)SUB R1,@mem 指令周期信息流程图及微操作控制信号如下 :

29 取指周期 间址周期 执行周期 PC BUS MAR M(MAR) MDR MDR BUS IR PC+1 PC Ad(IR) BUS MAR M(MAR) MDR MDR Ad(IR) Ad(IR) MAR M(MAR) MDR MDR BUS Y R1 BUS ALU BUS-Y Z Z BUS R1 PCo,MARi MARo,1 R,MDRi MDRo,IRi +1 IRo,MARi MARo,1 R,MDRi MDRo,IRi IRo,MARi MARo,1 R,MDRi MDRo,Yi R1o,ALUi Yo, -,Zi Zo,R1i 14. 设单总线计算机结构如图 9.5 所示, 其中 M 为主存,XR 为变址寄存器,EAR 为有效地址寄存器,LATCH 为锁存器 假设指令地址已存于 PC 中, 画出 LDA *D 和 SUB X,D 指令周期信息流程图, 并列出相应的控制信号序列 说明 : (1) LDA *D 指令字中 * 表示相对寻址,D 为相对位移量 (2) SUB X,D 指令字中 X 为变址寄存器 XR,D 为形式地址 (3) 寄存器的输入和输出均受控制信号控制, 例如,PCi 表示 PC 的输入控制信号,MDRo 表示 MDR 的输出控制信号 (4) 凡是需要经过总线实现寄存器之间的传送, 需要在流程图中注明, 如 PC Bus MAR, 相应控制信号为 PCo 和 MARi 解 :(1) LDA *D 指令周期信息流程图及微操作控制信号如下 :

30 PC BUS MAR M(MAR) MDR MDR BUS IR MDR BUS ACC PC+1 PC 取指周期执行周期 PCo,MARi MARo,1 R,MDRi MDRo,IRi +1 MDRo,ACCi EAR BUS MAR M(MAR) MDR MARo,1 R,MDRi EARo,MARi PC+Ad(IR) EAR PCo,Ad(IR)o,EARi (2) SUB X,D 指令周期信息流程图及微操作控制信号如下 : PC BUS MAR M(MAR) MDR MDR BUS IR LATCH BUS ACC PC+1 PC 取指周期执行周期 PCo,MARi MARo,1 R,MDRi MDRo,IRi +1 MDRo,ACCi EAR BUS MAR M(MAR) MDR MARo,1 R,MDRi EARo,MARi PC+Ad(IR) EAR PCo,Ad(IR)o,EARi MDR BUS X ACC-X LATCH ACCo,Xo,Ki=-,LATCHi LATCHo,ACCi

31 第十章 1. 假设响应中断时, 要求将程序断点存在堆栈内, 并且采用软件办法寻找中断服务程序的入口 地址, 试写出中断隐指令的微操作及节拍安排 解 : 设软件查询程序首址为 0 号内存单元, 则中断隐指令的微操作命令及节拍安排如下 : T0 0 SP T1,SP MAR T2 SP W,SP+1 M(MAR) T3 PSW MAR,MDR SP T4 1 W,SP+1 MDR, M(MAR) PC EINT 由于题意中没有给出确切的数据 通路结构, 故上述节拍分配方案的并行性较低 PC,MDR 0 MDR,1 MAR 2. 写出完成下列指令的微操作及节拍安排 ( 包括取指操作 ) (1) 指令 ADD R1,X 完成将 R1 寄存器的内容和主存 X 单元的内容相加, 结果存于 R1 的操作 (2) 指令 ISZ X 完成将主存 X 单元的内容增 1, 并根据其结果若为 0, 则跳过下一条指令执行 解 : 设采用单总线结构的 CPU 数据通路如下图所示, 且 ALU 输入端设两个暂存器 C D( 见 17 题图 ) 并设采用同步控制, 每周期 3 节拍 : bus PC MAR SP MDR CU IR AC 地址线数据线控制线 (1) 指令 ADD R1,X 的微操作及节拍安排如下 : 取指周期 :T0 PC MAR,1 R T1 M(MAR) MDR,PC+1 PC T2 MDR IR,OP(IR) ID 执行周期 1:T0 Ad(IR) MAR,1 R T1 M(MAR) MDR T2 MDR D 执行周期 2:T0 R1 C T1 + T2 ALU R1 (2) 指令 ISZ X 的微操作及节拍安排 : 取指周期同 (1): 略执行周期 1: T0 Ad(IR) MAR,1 R T1 M(MAR) MDR T2 MDR C,+1 ALU 执行周期 2:T0 ALU MDR,1 W T1 (PC+1) Z+ PC Z PC 12. 能否说水平型微指令就是直接编码的微指令, 为什么?

32 解 : 不能说水平型微指令就是直接编码的微指令, 因为符合水平型微指令特征的微指令都属于水 平型微指令, 常见的有 : 直接编码 字段直接编码 字段间接编码, 及混合编码等 直接编码的 微指令只是最典型的一种 15. 设控制存储器的容量为 位, 微程序可在整个控存空间实现转移, 而控制微程序转移的条件共有 4 个 ( 采用直接控制 ), 微指令格式如下 : 解 : 因为控制存储器共有 512*48=2 9 *48 所以, 下址字段应有 9 位, 微指令字长 48 位又因为控制微程序转移的条件有 4 个,4+1<=2 3 所以判断测试字段占 3 位因此控制字段位数为 :48-9-3=36 微指令格式为 : 控制字段测试字段下址字段 21. 下表给出 8 条微指令 I1~I8 及所包含的微命令控制信号, 设计微指令操作控制字段格式, 要求所使用的控制位最少, 而且保持微指令本身内在的并行性 解 : 为使设计出的微指令操作控制字段最短, 并且保持微指令本身内在的并行性, 应采用混合编码法 首先找出互斥的微命令组, 为便于分析, 将微命令表重画如下 : 由表中微命令的分布情况可看出 :a b c d e 微命令的并行性太高, 因此不能放在同一字段中 另外, 由分析可知, 在 分组的互斥组中,3 个一组的微命令互斥组对控制位的压缩作用最明显 因此, 应尽可能多的找出 3 个一组的互斥组 现找出的互斥组有 :cfj,dij, efh,fhi,bgj,ehj,efj 等等 从中找出互不相重的互斥组有两个 :dij,efh 则: 微指令操作控制字段格式安排如下 : a b c efh dij 各字段编码分配如下 : a:0 无操作,1 a 微命令 ; b:0 无操作,1 b 微命令 ; c: 0 无操作, 1 c 微命令 ; g :0 无操作, 1 g 微命令 ; dij :00 无操作 ;01 d 微命令 ;10 i 微命令 ;11 j 微命令 ; efh:00 无操作 ;01 e 微命令 ;10 f 微命令 ;11 h 微命令与采用直接控制法比较 : 直接控制法 :10 个微命令需 10 位操作控制位 ; 本方案中 10 个微命令需 8 位操作控制位, 压缩了 2 位

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

Microsoft PowerPoint - 第9讲-08.ppt [兼容模式]

Microsoft PowerPoint - 第9讲-08.ppt [兼容模式] 计算机设计与实践 第九讲 移动计算研究中心张展 1 本讲内容 微处理器设计实例 2 实验目的 根据计算机组成原理课程所学的知识和本课程所讲的设计思想, 设计一个给定指令系统的处理器, 包括 VHDL 语言的实现 FPGA 芯片的编程实现 对处理器的结构和计算机系统的整体工作过程有深刻的了解 为以后应用和设计处理器系统打下基础 3 实验要求 给出处理器的设计方案 用 VHDL 语言实现处理器的设计 将设计下载到

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

Microsoft Word ZW-11111

Microsoft Word ZW-11111 第 1 章计算机系统概述 考纲内容 1 2 3 CPU CPI CPU MIPS MFLOPS GFLOPS TFLOPS 复习指导 本章是组成原理的概述, 易对有关概念或性能指标出选择题, 也可能综合后续章节的内容出有关性能分析的综合题 掌握本章的基本概念, 是学好后续章节的基础 部分知识点在初学时理解不甚深刻也无需担忧, 相信随着后续章节的学习一定会有更为深入的理解 这一章中读者要重点掌握各个性能指标的计算,

More information

L1 computer system overview

L1 computer system overview Computer Architecture and Organization Department of Computer Science and Technology Nanjing University Fall 2013 Instructor: Shuai Wang Course Goal In depth understanding of modern computer architecture,

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information

第0章 硬件部分试题分析和解题方法

第0章  硬件部分试题分析和解题方法 1 计算机专业研究生入学考试 全真题解 (2003 版 ) 硬件分册 介绍与预览 2003 版 全真题解 丛书进行的改进 目录 硬件分册目录 1 硬件分册第 0 章 4 结构方面 : 1: 改变了原书的例题循序, 对所有题目进行了深入地分析, 寻找 到内在联系, 按找合理的顺序组织例题, 这样使读者在复习的时候, 可以找到循序渐进, 深入浅出的感觉 2: 对于例题的讲解, 我们增加了深入的分析, 使读者可以了解到

More information

starter_pdfmerge

starter_pdfmerge 第一章. 冯 诺依曼 (Vn Neumann) 机工作方式的基本特点是 A. 指令流单数据流 B. 按地址访问并顺序执行指令 C. 堆栈操作 D. 存储器按内容选择地址 下列描述中 是正确的 A. 控制器能够识别 解释和执行所有的指令及存储结果 B. 计算机主要由输入输出单元 控制器 存储器和算术逻辑单元构成 C. 所有的数据运算都在控制器中完成 D. 以上三者都正确 计算机系统中的存储器系统是指

More information

<4D F736F F D20B5DAC1F9D5C2CFB0CCE2B4F0B0B8A3A8CDF8D5BEA3A92E646F63>

<4D F736F F D20B5DAC1F9D5C2CFB0CCE2B4F0B0B8A3A8CDF8D5BEA3A92E646F63> 第六章中央处理器 2. 简单回答下列问题 ( 参考答案略 ) ( 1) CPU 的基本组成和基本功能各是什么? ( 2) 取指令部件的功能是什么? ( 3) 控制器的功能是什么? ( 4) 为什么对存储器按异步方式进行读写时需要 WMFC 信号? 按同步方式访问存储器时, CPU 如何实现存储器读写? ( 5) 单周期处理器的 CPI 是多少? 时钟周期如何确定? 为什么单周期处理器的性能差? 元件在一个指令周期内能否被重复使用?

More information

数据库系统概论

数据库系统概论 所谓寻址方式, 就是指令中用于说明操 作数所在地或者所在地地址的方法 8088/8086 的寻址方式分为两类 : 关于寻找数据的寻址方式 关于寻找转移地址的寻址方式 下面讲关于数据的寻址方式时, 均以数 据传送指令 MOV 为例讲解 MOV 指令格式如下 : MOV DST, SRC 助记符 目的操作数 指令完成的功能 : (DST) 源操作数 (SRC) 一. 关于寻找数据的寻址方式 ( 共 8

More information

ZMF744.mps

ZMF744.mps 第 章 存储系统 学习要点 一 存储器分类及技术指标 1. 存储器分类 1 按存储介质, 分为半导体存储器 磁表面存储器 作为存储介质的基本要求是, 必须具备能够显示两个有明显区别的物理状态的性能, 分别用来表示二进制的代码 0 和 1 2 按存取方式, 分为顺序存储器 随机存储器 3 按存储器的读写功能, 分为只读存储器 (ROM) 随机存储器 (RAM) 4 按信息的可保存性, 分为非永久记忆的存储器

More information

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D> 2018 版 微机原理与接口技术 第六章 存储器设计 dminghao@xidian.edu.cn 董明皓 准备知识 存储器的性能指标 - 存储容量 ( 常用单位 ) 存储容量的表示 Bit 用二进制位定义存储容量 Byte 用二进制字节定义存储容量 存储容量的常用单位 字 节 B (Byte) 千字节 KB(Kilo Byte) 兆字节 MB(Mega Byte) 吉字节 GB (Giga Byte)

More information

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx 第五讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 4 章寻址方式与指令系统 1 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 2 指令的组成 指令由操作码和操作数两部分组成 操作码操作数 MOV AX, 8726H ADD AX,

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 第 3 章 8086 的寻址方式和指令系统 (1) 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 1 主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 3.1 8086 的寻址方式 两种不同的类型 : 一类是程序地址 ( 在代码段中 ) 的寻址方式 ; 另一类是操作数地址的寻址方式

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

计算机组成原理 教案.doc

计算机组成原理 教案.doc 张明武计算机组成原理教案 - 1-1.1 计算机的分类和应用 1.1.1 计算机的分类计算机分类 : 模拟 : 处理在时间和数值上连续的量 数字 : 处理离散的量数字计算机分类 : 专用计算机 : 如工控机 DSP IOP 等 通用计算机 :GPP 通用机分类 : 巨型机 (Super-Computer) 大型机(Mainframe) 中型机(Medium-size Computer) 小型机 (minicomputer)

More information

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E >

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E > 第九讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 7 章微处理器的内部结构及外部功能特性 1 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 2 微处理器相关的若干关键设计 总线 : 提高微处理器 存储器和外设之间的传输效率

More information

第1章 概论

第1章 概论 计算机组成 原理蒋本珊 学习指南 本课程的性质 是计算机科学与技术专业本科生的核心课程之一, 是必修的专业基础课 学习指南 本课程的地位 本课程在计算机学科中处于承上启下的地位, 要求先修的课程有 : 计算机科学导论数字电路 学习指南 本课程的任务 讨论单机系统范围内计算机各部件和系统的组成以及内部工作机制 通过学习, 掌握计算机各大部件的组成原理 逻辑实现 设计方法及其互连构成整机系统的技术, 并为后继课程的学习打好基础

More information

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式]

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式] 本教案内容 第 3 章 8086CPU 指令系统 1. 汇编语言指令 9. 转移指令 10. 2. 8086 指令分类循环控制指令 11. 子程序调用返回 3. 数据与转移地址的指令寻址方式 12. 中断调用返回指 4. 数据传送类指令令 5. 算术运算类指令 13. 字符串操作指令 6. 逻辑运算类指令 14. I/O 输入输出指令 7. 移位类指令 15. 其它指令 8. 标志位操作指令 16.

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

<4D6963726F736F667420576F7264202D2032303130C4EAC8ABB9FAD1D0BEBFC9FABFBCCAD4BCC6CBE3BBFACDB3BFBCCAD4CCE2BCB0B4F0B0B82E646F63>

<4D6963726F736F667420576F7264202D2032303130C4EAC8ABB9FAD1D0BEBFC9FABFBCCAD4BCC6CBE3BBFACDB3BFBCCAD4CCE2BCB0B4F0B0B82E646F63> 2010 年 全 国 研 究 生 考 试 计 算 机 统 考 试 题 及 答 案 一 单 选 题 1 若 元 素 a,b,c,d,e,f 依 次 进 栈, 允 许 进 栈 退 栈 操 作 交 替 进 行 但 不 允 许 连 续 三 次 进 行 退 栈 工 作, 则 不 可 能 得 到 的 出 栈 序 列 是 ( D ) A:dcebfa B:cbdaef C:dbcaef D:afedcb 2 某

More information

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A 一 单 项 选 择 题 1. 考 查 栈 和 队 列 的 特 点 及 应 用 2009 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 计 算 机 学 科 专 业 基 础 综 合 试 题 选 择 题 部 分 解 析 C 和 D 直 接 排 除, 缓 冲 区 的 特 点 需 要 先 进 先 出, 若 用 栈, 则 先 进 入 缓 冲 区 的 数 据 则 要 排 队 到 最 后 才 能 打 印,

More information

Microsoft PowerPoint - 3章例题.ppt

Microsoft PowerPoint - 3章例题.ppt 例 设有一个采用地址直接映像方式的 Cache, 其存储容量为 8KB, 要求在每个存储块内存放 16B( 字节 ) 主存的存储容量是 512KB, 求 : 1) 该 Cache 地址机构是如何组成和具体分配的? 2) 主存的地址机构是如何组成和具体分配的? 3) 主存第 513 信息块存放在主存内的区号为多少? 将其调入 Cache 后被存放的对应信息块号为多少? 4) 在上一步 3) 的基础上,CPU

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

计算机组成与系统结构

计算机组成与系统结构 第5章 中央处理器 CPU 计算机的工作过程就是计算机执行程序的过程 程序是一个指令序列 这个序列明确告诉计算机应该 执行什么操作 在什么地方能够找到用来操作的数据 一旦把程序装入主存储器 计算机就可以自动执行取出指令和执行指令的任务 专门用来完成此项工 作的计算机部件称为中央处理器 Central Processing Unit CPU 做成单片集成电路的 CPU 通常又称 为微处理器 Microprocessor

More information

MSP430ϵÁе¥Æ¬»úµÄÖ¸Áîϵͳ.pps [¼æÈÝģʽ]

MSP430ϵÁе¥Æ¬»úµÄÖ¸Áîϵͳ.pps [¼æÈÝģʽ] 作者 : 利尔达 MSP430 系列单片机的指令系统 1 CPU 内核组成 : 16 位的 (ALU) 算术运算单元 16 个寄存器 (PC SP SR R4~R15) 指令控制单元 2 存储器组织结构 3 外围模块寄存器地址 它们被分配在相应的字模块或字节模块当中 分配在 00-FFH 中为字节, 分配在 100-1FFH 中为字 4 寻址模式 : 5 指令格式 : 1) 书写格式标号指令助记符源操作数,

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

试卷

试卷 ( 试 题 中 凡 主 观 题 答 案 意 思 对 即 可, 若 与 答 案 不 同 而 言 之 成 理, 亦 可 酌 情 给 分 ) 一 ~ 二 (45 分 ) 1.B( 原 文 并 未 说 网 络 社 会 生 态 系 统 的 核 心 与 现 实 社 会 生 态 系 统 的 核 心 不 同 ) 2.D( 服 务 网 络 收 集 到 的 数 据 要 和 关 系 网 络 的 数 据 整 合 在 一 起,

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总 目 录 数 学 高 分 的 展 望... 1 第 一 篇 大 纲 解 析 篇... 1 一 管 理 类 联 考 分 析... 1 二 最 新 大 纲 解 析... 1 三 考 前 复 习 资 料 及 方 法... 第 二 篇 总 结 篇... 4 1 应 用 题 考 点 总 结 与 技 巧 归 纳... 4 代 数 模 块 题 型 归 纳 及 考 点 总 结... 9 3 数 列 模 块 题 型 归

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

哈尔滨工业大学 一九九一年硕士研究生入学考试试题 第 1 页共 2 页 考试科目 : 数据结构 报考专业 : 计算机科学与技术 汇编语言部分 略 数据结构部分 4 解释下列名词(3 4=12 分 ) (1) 完全二元树 (2) 先深搜索 (3) 最小生成树 (4) 二元查找树 注 : 本试题中要求设

哈尔滨工业大学 一九九一年硕士研究生入学考试试题 第 1 页共 2 页 考试科目 : 数据结构 报考专业 : 计算机科学与技术 汇编语言部分 略 数据结构部分 4 解释下列名词(3 4=12 分 ) (1) 完全二元树 (2) 先深搜索 (3) 最小生成树 (4) 二元查找树 注 : 本试题中要求设 硕士研究生入学考试初试专业课资料计算机专业基础 计算机考研历年真题 (1991 年 -2008 年 ) 哈尔滨工业大学 一九九一年硕士研究生入学考试试题 第 1 页共 2 页 考试科目 : 数据结构 报考专业 : 计算机科学与技术 汇编语言部分 略 数据结构部分 4 解释下列名词(3 4=12 分 ) (1) 完全二元树 (2) 先深搜索 (3) 最小生成树 (4) 二元查找树 注 : 本试题中要求设计的算法可用任一种程序设计语言或流程图来描述

More information

<4D F736F F D20BCC6CBE3BBFAD7E9B3C9D4ADC0EDB1CABCC72E646F63>

<4D F736F F D20BCC6CBE3BBFAD7E9B3C9D4ADC0EDB1CABCC72E646F63> 1 1. 主机 : 由 CPU 存储器与 I/O 接口合在一起构成的处理系统称为主机 2.CPU: 中央处理器, 是计算机的核心部件, 由运算器和控制器构成 3. 运算器 : 计算机中完成运算功能的部件, 由 ALU 和寄存器构成 4.ALU: 算术逻辑运算单元, 负责执行各种算术运算和逻辑运算 5. 外围设备 : 计算机的输入输出设备, 包括输入设备, 输出设备和外存储设备 6. 数据 : 编码形式的各种信息,

More information

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20%

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20% 38 11 2013 11 GeomaticsandInformationScienceofWuhanUniversity Vol.38No.11 Nov.2013 :1671-8860(2013)11-1339-05 :A GIS Key-value 1 1 1 1 (1 129 430079) : 设计了一种基于 Key-value 结构的缓存 KV-cache 旨在简化数据结构 高效管理缓存数据

More information

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作 * 夏传玲 : 本文简要回顾了国内外定性研究在最近 多年的发展概况, 总结 了定性研究的六个发展趋势和分析策略上的三种流派 在上述两种背景下, 本文探讨了计算机辅助的定性分析给定性研究带来的机遇和挑战, 特别是它和手工操作对比时的优势和劣势, 以及应用这种定性分析技术所可能面临的困难 : 定性研究定性分析 文化差异,, (, ),,,, ( - ) ( - ) ( - ) ( - ) ( - ) (

More information

2 微机原理与接口技术 ( 第二版 ) 图 1 1 第一台电子计算机 ENIAC 1944~1945 年间, 著名的美籍匈牙利数学家冯 诺依曼 (John Von Neumann)( 如图 1 2 (a) 所示 ) 应邀参加 ENIAC 计算机研制任务 在研制中, 他深刻认识到 ENIAC 不能存储

2 微机原理与接口技术 ( 第二版 ) 图 1 1 第一台电子计算机 ENIAC 1944~1945 年间, 著名的美籍匈牙利数学家冯 诺依曼 (John Von Neumann)( 如图 1 2 (a) 所示 ) 应邀参加 ENIAC 计算机研制任务 在研制中, 他深刻认识到 ENIAC 不能存储 第 1 章微型计算机概述 电子计算机是人类历史上最伟大的发明之一 人类从原始社会学会使用工具以来到现代社会经历了三次大的产业革命, 即农业革命 工业革命和信息革命 信息革命是以计算机技术和通信技术的发展与普及为代表的 随着计算机的广泛应用, 人类社会生活的各个方面都发生了巨大变化 特别是随着微型计算机技术和网络技术的高速发展, 计算机逐渐走进了人们的家庭, 改变着人们的生活和思维方式, 成为人们生活和工作不可缺少的工具,

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

: : : ( CIP ) : ( ) /. :, ISBN :. G7. 4 CIP ( 00 ) 005 : : ( ) : : ( 0 : 0004) : : : / 6 : 7 ( ) : 408 () : 00

: : : ( CIP ) : ( ) /. :, ISBN :. G7. 4 CIP ( 00 ) 005 : : ( ) : : ( 0 : 0004) : : : / 6 : 7 ( ) : 408 () : 00 () ( ) ( : ) : : : ( CIP ) : ( ) /. :, 00. 7 ISBN 7-8008 - 958-8... :. G7. 4 CIP ( 00 ) 005 : : ( ) : : ( 0 : 0004) : : 00 7 00 7 : 78709 / 6 : 7 ( ) : 408 () : 000 : ISBN 7-8008 - 958-8/ G89 : 9 98. 00

More information

Microsoft Word - 10201生物02.doc

Microsoft Word - 10201生物02.doc 五 福 一 自 p1 高 雄 市 立 五 福 國 中 97 學 年 度 第 2 學 期 第 1 次 段 考 一 年 級 自 然 與 生 活 科 技 學 習 領 域 試 題 卷 一 選 擇 題 : 每 題 2 分,35 題 共 70 分 ㄧ 年 班 號 姓 名 : ( )1. 下 列 關 於 有 性 生 殖 的 特 色, 哪 一 項 敘 述 是 正 確 的? (A) 高 等 植 物 利 用 營 養 器

More information

张成思 本文运用向量系统下的协整分析方法 针对 年不同生产和消 费阶段的上中下游价格的动态传导特征以及货币因素对不同价格的驱动机制进行分析 研究结果表明 我国上中下游价格存在长期均衡关系 并且上中游价格对下游价格具有显 著动态传递效应 而下游价格对中游价格以及中游价格对上游价格分别存在反向传导的 倒逼机制 另外 货币因素对上游价格的动态驱动效果最为显著 但并没有直接作用于下 游价格 因此 虽然货币政策的现时变化可能在一段时间内不会直接反映在下游居民消费价格的变化上

More information

主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2

主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2 第 2 章 8086 CPU 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 1 主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2 2.1 微型机的基本结构 掌握 微机系统的基本组成 微型机的工作原理 总线 接口 2015 年 3 月 9 日星期一

More information

一、单选题(本大题共15小题,每小题1分,共15分)

一、单选题(本大题共15小题,每小题1分,共15分) 北京大学信息科学技术学院考试试卷 科目 : 微机原理 A 姓名 : 学号 : 题号一二三四五六七八总分 分数 阅卷人 考试时间 : 2010 年 1 月 8 日任课教师 : 王克义装订线内请勿答题考场纪律 1. 请持学生证入场考试, 并按指定座位就座 ; 除必要的文具和教师指定的用具用书外, 其他所有物品包括手机 呼机 MP3 电子词典 书籍 笔记 纸张等严禁带入座位, 必须放在指定位置 凡有试题印制问题请向监考教师提出,

More information

Ps22Pdf

Ps22Pdf f e L/ b I I P AD c b b P 131 132 133 b 134 W b b W 135 e d b AB b F F f f E E E E E G G G G G G E G E A B C D ABCD A B A B C D AB AB ABC D A BD C A B C D D D D E E E D b ED ED b ED b G E b b b b b

More information

第五版前言 本书是 十二五 普通高等教育本科国家级规划教材 计算机组成原理 是计算机学科大类专业的重要专业基础课程 又是一门实践性很强的课程 实践出真知 实践出人才 实践理念对创新人才培养来说太重要了 年前 中国伟大的教育家孔子说过一句名言 学而时习之 不亦乐乎 任何理论的学习 只有通过实践环节才能融会贯通 实践环节包括学生完成习题 实验 课程设计 为了配合理论教学 同时为了对硕士研究生入学考试进行辅导

More information

Ps22Pdf

Ps22Pdf A B C D A B C D A B C D a a b c x x x x x x x x x x x x x x x x x a b c x a x x x x x x x x x x a b a b a b x x x x x x x x x x x x A B C A B C A B A B A x B C x D A B C a b c a b x x x x x x x A B A

More information

s p o r t o w e j n a w i e r z c h n i s y n t e t y c z n, e jp o l i u r e t a n o w e j z o o n e j z n a s t p u j c e j k o n s t r u k c j i a

s p o r t o w e j n a w i e r z c h n i s y n t e t y c z n, e jp o l i u r e t a n o w e j z o o n e j z n a s t p u j c e j k o n s t r u k c j i a G d y n i a B u d o w a b o i s k a w i e l o f u n k c y j n e g o o n a w i e r z c h n i p o l i u r e t a n o w e j p r z y Z e s p o l e S z k H o t e l a r s k o- G a s t r o n o m i c z n y c h

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

Microsoft PowerPoint - chx09_org04_isa.ppt

Microsoft PowerPoint - chx09_org04_isa.ppt 计算机组织与系统结构 指令系统设计 Instruction Set Design ( 第四讲 ) 程旭 2009.3.5 指令系统设计 软件 指令系统 硬件 指令系统体系结构 (Instruction Set Architecture) ADD SUBTRACT AND OR COMPARE... 从程序员来观察 01010 01110 10011 10001 11010... 从计算机来观察 CPU

More information

<4D6963726F736F667420576F7264202D20B8DFB5C8D1A7D0A3B1BEBFC6CEEFC1AACDF8B9A4B3CCD7A8D2B5D3A6D3C3D0CDC8CBB2C5C5E0D1F8D6B8B5BCD2E2BCFBA3A832303136B0E6A3A92E646F6378>

<4D6963726F736F667420576F7264202D20B8DFB5C8D1A7D0A3B1BEBFC6CEEFC1AACDF8B9A4B3CCD7A8D2B5D3A6D3C3D0CDC8CBB2C5C5E0D1F8D6B8B5BCD2E2BCFBA3A832303136B0E6A3A92E646F6378> 高 等 校 本 科 物 联 网 工 程 专 业 应 用 型 人 才 培 养 指 导 意 见 (2016 版 ) 高 等 校 本 科 计 算 机 类 专 业 应 用 型 人 才 培 养 研 究 项 目 物 联 网 工 程 专 业 工 作 组 序 随 着 信 息 化 社 会 的 发 展, 计 算 机 技 术 越 来 越 重 要, 信 息 产 业 成 为 世 界 第 一 大 产 业 信 息 技 术 的

More information

Microsoft PowerPoint - IC-HG-Review.ppt

Microsoft PowerPoint - IC-HG-Review.ppt 计算概论 第七讲 计算机基础知识回顾 黄罡北京大学信息科学技术学院 什么是 计算机 计算机是一种能够根据存储的一系列指令, 接收输入 处理数据 存储数据并产生输出的设备 存储指令 产生输出 接收输入 处理数据 存储数据 2 什么是 计算机 机箱 音箱 显示器 光驱 DVD 打印机 软驱 硬盘 键盘鼠标网络设备 3 计算机发展史 计算机前传 手工计算器 机械计算器 计算机原型 现代计算机 真空管计算机

More information

数据库系统概论

数据库系统概论 2. 减法指令 8086/8088 共有 5 条减法指令 1 不带 CF 的减法指令 (SUB) 格式 :SUB DST, SRC 功能 :(1)DST (DST)-(SRC) (2) 根据差设置 6 个状态标志 2 带 CF 的减法指令 (SBB) 格式 :SBB DST, SRC 功能 : (1)DST (DST)-(SRC)-CF (2) 根据差设置 6 个状态标志 3 减 1 指令 (DEC)

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

数学分析(I)短课程 [Part 2] 4mm 自然数、整数和有理数

数学分析(I)短课程 [Part 2]   4mm 自然数、整数和有理数 .. 数学分析 (I) 短课程 [Part 2] 自然数 整数和有理数 孙伟 华东师范大学数学系算子代数中心 Week 2 to 18. Fall 2014 孙伟 ( 数学系算子代数中心 ) 数学分析 (I) 短课程 Week 2 to 18. Fall 2014 1 / 78 3. 自然数理论初步 孙伟 ( 数学系算子代数中心 ) 数学分析 (I) 短课程 Week 2 to 18. Fall 2014

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 0

( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 0 ( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 094711 : : : : * : : 174 ( A ) : 400044 : (023) 65102378

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

3.3.2 算术运算指令 数据类型 : 无符号和有符号整数 无符号数又分成 : 无符号二进制数 ; 无符号压缩十进制 :1 字节表示 2 个十进制数 ; 无符号非压缩十进制 :1 字节表示 1 个十进制数 有符号数 : 有符号二进制数 用补码表示 2015 年 3 月 26 日星期四 11 时 3

3.3.2 算术运算指令 数据类型 : 无符号和有符号整数 无符号数又分成 : 无符号二进制数 ; 无符号压缩十进制 :1 字节表示 2 个十进制数 ; 无符号非压缩十进制 :1 字节表示 1 个十进制数 有符号数 : 有符号二进制数 用补码表示 2015 年 3 月 26 日星期四 11 时 3 第 3 章 8086 的寻址方式和指令系统 (3) 2015 年 3 月 26 日星期四 11 时 3 分 17 秒 1 3.3.2 算术运算指令 数据类型 : 无符号和有符号整数 无符号数又分成 : 无符号二进制数 ; 无符号压缩十进制 :1 字节表示 2 个十进制数 ; 无符号非压缩十进制 :1 字节表示 1 个十进制数 有符号数 : 有符号二进制数 用补码表示 2015 年 3 月 26 日星期四

More information

PowerPoint Presentation

PowerPoint Presentation 4.4 三级存储体系 - 主存 - 辅存三级存储体系 : 计算机系统同时拥有虚拟存储器和 存储器, 程序采用虚地址访存, 要求速度接近于, 容量接近于辅存 物理地址 虚地址 全 技术 物理地址 CPU 用程序虚地址访问 MMU 的地址变换部件将其换成主存物理地址访问 CPU 虚地址 存储管理部件 (MMU) 主存物理地址 主存物理地址 数据或指令块 主存 数据或指令 需要将主存物理地址变换成 地址,

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 计算机组成原理 王浩宇, 北京邮电大学计算机学院 haoyuwang@bupt.edu.cn 本部教三楼 626 2 2017/3/2 BIG PICTURE 3 2017/3/2 计算思维 VS. 系统思维 计算思维 Computational Thinking (CT) is the thought processes involved in formulating a problem and

More information

( m+ n) a 6 4 4 4 4 7 4 4 4 48 m n m+ n a a = a 4 a 4 3 a a 4 a 4 3 a = a 4 a 4 4 a 4 == 3 = a ma na ( m+ n) a A 0 a m a n m n a m+n 0 B a m a n m n m>n a m-n C 0 (a m ) n m n a mn D (ab) n n a n b n (

More information

NC MCP MPG

NC MCP MPG HNC-21M ...1 1.1... 1 1.1.1... 1 1.1.2... 2 1.2... 3 1.2.1... 3 1.2.2... 3 1.2.3 NC... 3 1.2.4 MCP... 4 1.2.5 MPG... 4 1.3... 5 1.4... 6 1.4.1... 7 1.4.2... 7...9 2.1... 9 2.2... 9 2.3... 9 2.4... 10 2.5...

More information

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言 ABeen 汇编语言 学习摘要 ABeen 学习记录 --- 汇编语言篇 QQ: 155235900 1 / 8 一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1.

More information

! "#$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(& :;<<= > A B?

! #$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(& :;<<= >  A B? ! "#$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(&- 67789:;

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

微机第02章1(指令寻址)

微机第02章1(指令寻址) 微机原理及应用 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@yahoo.com.cn 西华大学电气信息学院 1 2 课程介绍 课程性质 : 必修, 电类专业 ( 信息工程 电气工程 测控技术 应用电子 ) 学科专业技术基础基础课学时 : 授课 48 学时 (3.5 学分 ), 实验 10 学时 ( 单独 ) 考试 : 题库命题统考 ( 笔试 作业实验

More information

共同构成 16 位数据存储单元的地址 当 CPL=1 时, 将堆栈指针 SP 的 16 位地址 与指令中的 7 位地址相加, 形成 16 位的数据存储器地址 (2) 当 SP=0100h, 偏移地址为 50h 时, 寻址 0150h 单元 ; 当 DP=2, 偏移地址为 50h 时, 寻址 0150

共同构成 16 位数据存储单元的地址 当 CPL=1 时, 将堆栈指针 SP 的 16 位地址 与指令中的 7 位地址相加, 形成 16 位的数据存储器地址 (2) 当 SP=0100h, 偏移地址为 50h 时, 寻址 0150h 单元 ; 当 DP=2, 偏移地址为 50h 时, 寻址 0150 DSP 原理与应用教程 ( 张卫宁著 ) 课后习题答案第 3 章 (2014 年 10 月整理 刘忠国 ) 第三章习题答案 : 作业 :3.2, 3.6, 3.7,3.8, 3.11, 3.17, 3.19,3.21 3.1. TMS320C54x 的数据空间寻址方式各有什么特点? 应该应用在什么场合? ( 教材涉及特点较少 ) 答 :TMS320C54x 有 7 种基本的数据寻址方式 : 立即寻址,

More information

2.1 信息存储 2016 年 3 月 3 日 9:32 1. 把位组合在一起, 再加上某种解释, 即给不同的可能位模式赋予含义, 我们就能够表示任何有限 集合的元素 2. 无符号编码基于传统的二进制表示法, 表示大于或者等于零的数字 ; 补码编码是表示有符号整数的最常见的方式, 有符号整数就是可以

2.1 信息存储 2016 年 3 月 3 日 9:32 1. 把位组合在一起, 再加上某种解释, 即给不同的可能位模式赋予含义, 我们就能够表示任何有限 集合的元素 2. 无符号编码基于传统的二进制表示法, 表示大于或者等于零的数字 ; 补码编码是表示有符号整数的最常见的方式, 有符号整数就是可以 2 信息的表示和处理 2016 年 3 月 3 日 8:29 现代计算机存储和处理的信息以二值信号表示 相比其他进制, 二值信号能够很容易的被表示 存储和传输 对二值信号进行存储和执行计算的电子电路非常简单和可靠 给不同的可能位模式赋予含义 ( 解释 ), 就能够表示任何有限集合的元素 无符号 (unsigned) 编码表示 0 和正整数 ; 补码 (two's-complement) 编码表示有符号整数

More information

上海盛瑞电子有限公司

上海盛瑞电子有限公司 2015/5/22 1 / 9 一运动指令 MoveJ MoveJ[\Conc,]ToPoint,Speed[\V] [\T],Zone[\Z][\Inpos],Tool[\WObj]; 1 [\Conc,]: 协作运动开关 (switch) 2 ToPoint: 目标点, 默认为 * (robotarget) 3 Speed: 运行速度数据 (speeddata) 4 [\V]: 特殊运行速度 mm/s

More information

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

untitled

untitled 2016 133 1 7 28 19:00 29 14:00 http://zj.sceea.cn www.sceea.cn APP 1 2 2 6 6 2016 2016 7 28 3 2016 2016 2016 0363 1 17 1 1183 1 18 1 1184 2 41 1 45 1 1205 1 03 1 1210 3 25 1 29 2 1240 4 01 ( ) 4 1291 2

More information

WL100079ZW.PDF

WL100079ZW.PDF ε I x = r + R + R + R g o x = R ε + v v 2 v1 a = = t t t 2 1 R x { ( 1) ( 2)" " ( 3) ( 4), ( 5)" " ( 6) ( 7) ( 8)" " ( 9) ( 10) ( 11) ( 12) ( 13) ( 14) ( 15) ( 17) {

More information

<4D F736F F D CFC4D7E9B3C9D4ADC0EDCAD4CCE22D41A3A8B4F0B0B8A3A92E646F63>

<4D F736F F D CFC4D7E9B3C9D4ADC0EDCAD4CCE22D41A3A8B4F0B0B8A3A92E646F63> 考试科目名称计算机组织与系统结构 (A-1 卷 ) 2007 2008 学年第 2 学期 教师 袁春风 / 窦万春 考试方式 : 闭卷 系 ( 专业 ) 计算机科学与技术 年级 2006 班级 学号 姓名 成绩 题号一二三四五六 分数 得分 一 填空题 ( 本大题共 15 小题, 每空 1 分, 共 20 分 ) 1. 二进制指令代码的符号化表示被称为 汇编 语言源程序 必须通过相应的翻译程序把它转

More information

B. 工资管理软件 C. 用汇编语言编写的一个练习程序 D.Windows 操作系统 86. 计算机操作系统是一种 A. 系统软件 B. 应用软件 C. 工具软件 D. 调试软件 参考答案 A 87. 下列 4 种软件中属于应用软件的是 A. 财务管理系统 B.DOS C.Windows 98 D.

B. 工资管理软件 C. 用汇编语言编写的一个练习程序 D.Windows 操作系统 86. 计算机操作系统是一种 A. 系统软件 B. 应用软件 C. 工具软件 D. 调试软件 参考答案 A 87. 下列 4 种软件中属于应用软件的是 A. 财务管理系统 B.DOS C.Windows 98 D. 计算机基础知识 2 79. 在计算机领域中, 通常用英文单词 "byte" 来表示 A. 字 B. 字长 C. 字节 D. 二进制位 参考答案 C 80.8 个字节含二进制位 A.8 个 B.16 个 C.32 个 D.64 个 81. 计算机能解决有大量数据和程序语句的问题, 起主要作用的因素是 A. 大尺寸的彩显 B. 快速的打印机 C. 大容量内外存储器 D. 好的程序设计语言 参考答案 C

More information

T051F_01

T051F_01 維 摩 詰 經 節 要 1. 維 摩 詰 所 說 經 卷 1 1 佛 國 品 : 眾 生 之 類 是 菩 薩 佛 土 所 以 者 何? 菩 薩 隨 所 化 眾 生 而 取 佛 土, 隨 所 調 伏 眾 生 而 取 佛 土, 隨 諸 眾 生 應 以 何 國 入 佛 智 慧 而 取 佛 土, 隨 諸 眾 生 應 以 何 國 起 菩 薩 根 而 取 佛 土 所 以 者 何? 菩 薩 取 於 淨 國, 皆

More information

计算机组成与系统结构

计算机组成与系统结构 第章 运算方法和运算器.1 数据表示基础 计算机的基本功能是对数据 文字 声音 图形 图像和视频等信息进行加工处理 其中数据有两大类 一类是数值数据 如+314-3.14 53 等 有 量 的概念 另一类是非数值数据 如各种字母和符号 无论 是数值数据还是非数值数据 在计算机中都是用二进制数码表示的 而文字 声音 图形 图像和视频等信 息要在计算机中处理 都要事先数字化 即把文字 声音 图形 图像和视频等信息转换为二进制数码

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

2011-论文选集-2.cdr

2011-论文选集-2.cdr ! "#$# $$ "#$#$$" " $% &%!$ $ "#$$ " ! "!#!$ %" #& # ( #$ ) )& )# )$ ** "& ")! ! "" # $% & &( ( # ) )** )*+ )*$ )) ))" ),+ )," -./ ) ) ) " )++ )+" )%,, !"#" $ ! " #$% & ( & ) % #$% #$% & * #$%#$% #$% (

More information

18 2016 年 全 国 计 算 机 等 级 考 试 无 纸 化 真 考 题 库 二 级 MS Office 高 级 应 用 (57) 下 列 有 关 计 算 机 结 构 的 叙 述 中, 错 误 的 是 ( ) A) 最 早 的 计 算 机 基 本 上 采 用 直 接 连 接 的 方 式, 冯

18 2016 年 全 国 计 算 机 等 级 考 试 无 纸 化 真 考 题 库 二 级 MS Office 高 级 应 用 (57) 下 列 有 关 计 算 机 结 构 的 叙 述 中, 错 误 的 是 ( ) A) 最 早 的 计 算 机 基 本 上 采 用 直 接 连 接 的 方 式, 冯 第 2 部 分 上 机 选 择 题 17 A)12 800 B)3 200 C)32 3 200 D)128K (42) 在 表 示 存 储 容 量 时,KB 的 准 确 含 义 是 ( ) 字 节 A)512 B)1 000 C)1 024 D)2 048 (43) 八 进 制 数 765 转 换 成 二 进 制 数 为 ( ) A)111111101 B)111110101 C)10111101

More information

没有幻灯片标题

没有幻灯片标题 第 4 讲现代计算机 : 复杂环境下程序执行 理解 复杂环境下程序是如何被执行的 --- 有助于理解资源受约束条件下问题解决方案的构造 --- 有助于建立问题求解的大思维 --- 有助于理解并建立各学科具体问题求解的计算环境, 实现跨学科的共同创新 内容提要 2/49 基本目标 : 理解现代计算机系统的工作思维 基本思维 : 存储体系 磁盘存取 操作系统 作业与进程 程序执行的管理与控制 ; 资源组合利用体系化

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

第五章 独立审计存在的问题

第五章    独立审计存在的问题 1 2 2002 7 1 1 3 27 10 4 52 1 1 2 5 2002 ISA 100 ISA 310 ISA 402 ISA 501 ISA 545 ISA 710 ISA 930 ISA 1001 ISA 1002 ISA 1003 ISA 1004 ISA 1008 ISA 1009 ISA 1010 ISA 1012 ISA 1013 ISA 1001 ISA 1003 ISA 1008

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

计算机组成与系统结构

计算机组成与系统结构 计算机组成与系统结构 陈泽宇 主编 计算机组成与系统结构 陈泽宇主编 上海交通大学 目 第章 录 计算机系统概论...8. 计算机的分类 发展与应用...8.. 计算机的分类...9..2 计算机的发展概况...0..3 计算机的应用....2 计算机的基本组成...2.2. 计算机硬件...3.2.2 计算机软件...4.2.3 计算机固件...6.3 计算机系统的概念...6.3. 计算机系统的层次结构...6.3.2

More information

!# $#!#!%%& $# &% %!# (# )#! "

!# $#!#!%%& $# &% %!# (# )#! ! " "!! " "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! " #$$%! # & % ( #$$%! #$$% ) #$$% # #!!# %!!!! ( ) #!!& # &#$$%!* #$$ $+ %%$+ ( # # # # #!+ !# $#!#!%%& $# &% %!# (# )#! " ! " " S1.+(/8.-1.,3(413 516*+/,

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

# # # # # # = #, / / / / # 4 # # # /# 02-1 / 0 /? / 0 / 0? # # / >

# # # # # # = #, / / / / # 4 # # # /# 02-1 / 0 /? / 0 / 0? # # / > # # # # # # #,, # # # # # - #. /#. / 0 #. 0 4 1. 04 0 #. ##1 2-1 0 1. 04 # # # 3 4 0 4 3 < # : # 1 0 5 5 5 # # : # 4 678 #. 0 # 0. #678 # 0 678 678 # 0 # 4 0 : =>8 # 0 =>8 # 4.?@= # 0 0 # 4 # 0 : =>8 0

More information

静态分析 投放文件 行为分析 互斥量 (Mutexes) 执行的命令 创建的服务 启动的服务 进程 cmd.exe PID: 2520, 上一级进程 PID: 2556 cmd.exe PID: 2604, 上一级进程 PID: 2520 访问的文件 C:\Users\test\AppData\Lo

静态分析 投放文件 行为分析 互斥量 (Mutexes) 执行的命令 创建的服务 启动的服务 进程 cmd.exe PID: 2520, 上一级进程 PID: 2556 cmd.exe PID: 2604, 上一级进程 PID: 2520 访问的文件 C:\Users\test\AppData\Lo 魔盾安全分析报告 分析类型 开始时间 结束时间 持续时间 分析引擎版本 FILE 2016-11-25 00:20:03 2016-11-25 00:22:18 135 秒 1.4-Maldun 虚拟机机器名 标签 虚拟机管理 开机时间 关机时间 win7-sp1-x64 win7-sp1-x64 KVM 2016-11-25 00:20:03 2016-11-25 00:22:18 魔盾分数 0.0

More information