forlinx

Size: px
Start display at page:

Download "forlinx"

Transcription

1 WON1101 武汉华和机电技术有限公司

2 注意事项与维护 产品使用环境 供电电压 : DC5V ± 10% 湿度 : 10 90%( 不结露 ) 注意事项 请勿带电插拔核心板及外围模块! 请遵循所有标注在产品上的警示和指引信息 请保持本产品干燥 如果不慎被任何液体泼溅或浸润, 请立刻断电并充分晾干 使用中注意本产品的通风散热, 避免温度过高造成元器件损坏 请勿在多尘 脏乱的环境中使用或存放本产品 请勿将本产品应用在冷热交替环境中, 避免结露损坏元器件 请勿粗暴对待本产品, 跌落 敲打或剧烈晃动都可能损坏线路及元器件 请勿使用有机溶剂或腐蚀性液体清洗本产品 请勿自行修理 拆卸本公司产品, 如产品出现故障请及时联系本公司进行维修 擅自修改或使用未经授权的配件可能损坏本产品, 由此造成的损坏将不予以保修 如果产品出现故障, 请联系华和技术服务部 第 1 页

3 版权声明 本手册所有权由武汉市华和机电技术有限公司独家持有 未经本公司的书面许可, 任 何单位和个人无权以任何形式复制 传播 转载本手册的任何部分, 否则一切后果由违者自 负 第 2 页

4 更新记录 日期 版本 更新内容 v1.0 新文件导入 v1.1 修改简介说明, 及部分图片 第 3 页

5 技术支持与更新 1 技术支持范围 1.1 本公司产品的软 硬件资源提供情况咨询 ; 1.2 本公司产品的软 硬件手册使用过程中遇到的问题 ; 1.3 本公司提供的 OEM ODM 售后技术支持 ; 1.4 已购买本公司产品用户的资料丢失 更新后重新获取 ; 1.5 本公司产品的故障判断及售后维修服务 ; 2 技术讨论范围 ( 非必解决问题 ) 2.1 源码的修改以及理解 ; 2.2 操作系统如何移植 ; 2.3 用户在自行修改以及开发中遇到的软硬件问题 ; 3 技术支持方式 3.1 电话 ( 即时 ): 邮箱 ( 非即时 ):sales@wonhere.cn 第 4 页

6 目 录 注意事项与维护... 1 技术支持与更新... 4 第一章 WON1101 核心板简介 WON1101 核心板 WON1101 电气性能 应用领域 WON1101 系统框图 WON1101 核心板样图 CPU 功能框图...8 第二章 WON1101 核心板说明 CPU:AM3354ZCZD AM3354 处理器特性 TPS65217 电源管理芯片特性 WON1101 核心板结构图 WON1101 核心板外观图 WON1101 核心板结构尺寸图 WON1101 核心板引脚功能分类...13 WON1101 核心板引脚定义图...14 WON1101 核心板引脚功能参考说明 WON1101 核心板引脚定义总表 WON1101 核心板电源接口 WON1101 核心板系统控制接口 boot 配置引脚...37 WON1101 核心板默认功能描述 通用存储控制器 (GPMC) 总线 LCD 控制器 MAC 控制器 通用串行接口 (USB) ADC 控制器 测试接口 (JTAG) MMC / SDIO 接口 I2C 接口 SPI 接口 UART 接口 MCASP 接口 FPGA 的 GPIO 接口...47 第 5 页

7 第一章 WON1101 核心板简介 1.1 WON1101 核心板 WON1101 是华和推出的一款高集成度的系统模块 (SOM) 形式的核心板,CPU 采用 TI 公司 Sitara 系列 的 ARM 处理器 AM335x, 集成了 512MB 的 DDR3 内存,256MB 的 SLC Nandflash,TPS65217C 电源管理 芯片和 Lattice 的 FPGA(MachXO2-2000) 核心板采用 Sitara 系列 ARM 处理器 AM3354( 默认处理器 ), 最高运行频率可达 800MHz, 采用 45nm 制程工艺, 集成了基于 ARM Cortex-A8 的微处理器单元 (MPU),POWERVR SGX 图形加速器 ( 用于 3D 图 形加速以支持显示和游戏效果 ), 可编程实时单元和工业用通信子系统 (PRU-ICSS)( 从 ARM 内核分离, 从 而实现了在传输和控制中配置灵活并拥有更高效率的可能 ), 保证系统在低功耗运行的同时拥有高性能 构 核心板为 8 层 PCB 层叠结构, 沉金工艺设计, 连接方式采用 1.27mm 间距,2x50 pin 双排插针 ( 两组 ) 结 1.2 WON1101 电气性能 供电电压 : DC5V ± 10% 湿度 : 10 90%( 不结露 ) 1.3 应用领域 适用于便携式数据终端 导航 游戏外设 智能家居 工业自动化 消费类医疗器械 打印机 智能收费系统 测试和测量设备 教育控制台 高级玩具和单板计算机等多种领域 第 6 页

8 1.4 WON1101 系统框图 1.5 WON1101 核心板样图 第 7 页

9 1.6 CPU 功能框图 第 8 页

10 第二章 WON1101 核心板说明 2.1 CPU:AM3354BZCZD AM3354 处理器特性 275MHz,500MHz,600MHz,720MHz 或 800MHz ARM Cortex -A8 32 位精简指令集 (RISC) 微处理器 o NEON 单指令流多数据流 (SIMD) 协处理器 o 具有单错检测 ( 奇偶校验 ) 的 32KB L1 指令高速缓存和 32KB 数据高速缓存 o 具有错误纠正码 (ECC) 的 256KB L2 高速缓存 支持移动双倍速率同步动态随机存储器 (DDR[LPDDR]/DDR2/DDR3/DDR3L), 通用存储器 (NAND, NOR,SRAM, 等 ) o mddr:200mhz 时钟 (400MHz 数据速率 ) o DDR2:266MHz 时钟 (532MHz 数据速率 ) o DDR3:400MHz 时钟 (800MHz 数据速率 ) o 16 位数据总线 o 支持 7 种存储芯片 (NAND,NOR,Muxed-NOR,SRAM, 等 ) 的 8/16 位异步存储器接口 o 使用 BCH 码以支持 4 位,8 位, 或者 16 位 ECC SGX530 图形引擎 o 图像纹理架构最高传送速率为每秒 20MPloy o 通用可扩展着色引擎 ( 包含像素和顶点着色功能性的多线程引擎 ) o 支持 Microsoft VS3.0,PS3.0 和 OGL2.0 的高级着色程序特性集 o 支持 Direct3D,OGL-ES 1.1 和 2.0,OpenVG 1.0, 和 OpenMax 的工业标准 API 支持 o 高密度任务切换 负载均衡和电源管理 o 占用低 CPU 资源以达到交互的高级几何 DMA 驱动 o 可编程高质量图像防锯齿 o 用于统一存储器架构中操作系统运行的完全虚拟存储器寻址 LCD 控制器 o 最高 24 位数据输出 ; 每像素 8 位 (RGB) o 分辨率高达 2048x2048 ( 具有最大频率 126MHz 像素时钟 ) o 集成 LCD 接口显示驱动器 (LIDD) 控制器 o 集成光栅控制器 o 集成 DMA 控制器, 可凭借中断或者一个定时器从外部帧缓冲器中读取数据而不会增加处理器的负担 o 512 字内部 FIFO o 支持的显示类型 : - 字符显示 - 使用 LCD 接口显示驱动器 (LIDD) 控制器来为这些显示编制程序 - 无源矩阵 LCD 显示 - 使用 LCD 光栅显示控制器来为到无源显示的持续图形刷新供定时和数据 - 有源矩阵 LCD 显示 - 使用外部帧缓冲器空间和内部 DMA 控制器来驱动到控制面板的流数据 12 位逐次逼近寄存器 (SAR)ADC o 每秒 100K 的采样速率 o 可被配置为 4 线 5 线 或者 8 线电阻式触摸屏控制器 (TSC) 接口 可编程实时单元和工业用通信子系统 (PRU-ICSS) ( 注 :AM3354 以上版本支持此项 ) o 支持的协议包括 EtherCAT,PROFIBUS,PROFINET,Ether Net/IP o PRU-ICSS 内的外设 第 9 页

11 o o o o 1 个具有流量控制引脚的 UART 端口, 支持的速率高达 12Mbps 2 个支持工业用以太网的 MII 以太网端口 1 个 MDIO 端口 1 个增强型捕捉 (ecap) 模块 电源复位和时钟管理 (PRCM) 模块 o o 控制进入和离开待机和深度睡眠模式 负责睡眠排序, 电源域切换排序, 唤醒排序和电源域打开排序 实时时钟 (RTC) o o o o o o 外设 o o o o 实时日期 ( 日期 / 月份 / 年份 ) 和时间 ( 小时 / 分钟 / 秒 ) 信息 内部集成 kHz 晶体振荡器,RTC 逻辑控制器和 1.1V 内部低压降稳压器 (LDO) 独立的加电复位 (RTC_PWRONRSTn) 输入引脚 用于外部唤醒事件的专用输入引脚 (EXT_WAKEUP) 可编程警报器可被用于生成到 PRCM( 用于唤醒 ) 或者 Cortex-A8( 用于事件通知 ) 的内部中断 可编程警报器可与外部输出 (PMIC_POWER_EN) 一起使用以使电源管理 IC 能够恢复非 RTC 电源 多达 2 个具有集成 PHY 的 USB 2.0 高速 OTG 端口 多达 2 个工业用千兆以太网 MAC(10/100/1000Mbps) - 集成开关 - 每个 MAC 支持 MII/RMII/RGMII - 以太网 MAC 可独立运行其它功能 - IEEE 1588v2 精准时间协议 (PTP) 多达 2 个控制器局域网 (CAN) 端口 - 支持 CAN 2.0 版本 多达 2 个多通道音频串口 (McASP) - 传输 / 接收时钟频率高达 50 MHz - 带有独立 TX/RX 时钟的 McASP 端口. - 支持时分复用 (TDM) I2S - 支持数字音频接口传输 (SPDIF,IEC , 和 AES-3 格式 ) - 用于发送和接收的 FIFO 缓冲器 (256 字节 ) o 最多 6 个 UART - 所有 UART 支持 IrDA 和 CIR 模式 - 所有 UART 支持 RTS 和 CTS 流量控制 - UART1 支持完全调制解调器 (Modem) 控制 o 最多 2 个主 / 从 McSPI 接口 - 最多 2 个芯片选择 - 最高 48 MHz 数据速率 o 最多 3 个 MMC/SD/SDIO 端口 - 1 位,4 位和 8 位 MMC/SD/SDIO 模式 - MMCS0 具有针对 1.8V 或者 3.3V 的运行的专用电源 - 高达 48MHz 的数据传输速率 - 支持卡检测和写保护 - 与 MMC4.3 和 SD/SDIO 2.0 规范兼容 o 多达 3 个 I2C 主 / 从接口 - 标准模式 ( 高达 100kHz) - 快速模式 ( 高达 400kHz) o 多达 4 通道的通用 IO (GPIO) 第 10 页

12 支持调试接口 - 每通道 32 个 GPIO( 与其它功能引脚复用 ) - GPIO 可被用作中断输入 ( 每通道高达 2 个中断输入 ) - 多达 3 个外部 DMA 事件输入, 此输入也可被用作中断输入 - 8 个 32 位通用定时器 o 支持器件边界扫描 o 支持 IEEE1500 DMA o 片载增强型 DMA 控制器 (EDMA) 具有 3 个第三方传送控制器 (TPTC) 和 1 个第三方通道控制器 (TPCC), 此控制器最多支持 64 个可编程逻辑通道和 8 个 QDMA 通道 TPS65217 电源管理芯片特性 TPS65217 是一款单芯片电源管理 IC, 设计用于 AM335x 系列应用处理器 支持单节锂离子电池和锂 聚合物电池 TPS65217 为双路径输入电源芯片, 拥有 3 个降压 DCDC,4 个 LDO 并提供一路线性电池充电接口, 还提供有一个高效升压转换器可以对 2 串 10 并结构的 LED 供电 TPS65217 可由 USB 端口 5V 电源适 配器或锂离子电池的任意组合方式供电 额定运行温度范围为 40 C 至 +105 C, 适合于工业应用 TPS65217 拥有的 3 个高效的降压 DCDC 分别为处理器的核心, 内存控制器和 I/O 提供电压 TPS65217 在轻载时会进入低功率模式 对于低噪声场合, 通过使用 I 2 C 接口设置使 TPS65217 的 DCDC 可以工作在固定的某一个低频率上 4 个 LDO 中,LDO1 和 LDO2 是为了支持系统待机模式. 在睡眠状态下,LDO1 和 LDO2 输出电流被限制在 100 µa 以降低静态电流 在正常模式下, 它们可以支持 100 ma 的电流 LDO3 和 LDO4 可以支持 200 ma 电流并可被配置为负载开关 TPS65217 提供灵活的加电和断电时序并拥有诸如电源正常输出 按钮监视器等附加功能,TPS65217 内部集成的硬件复位功能和温度传感是为了保护电池 最大输出电流 2A 最高输出充电电流 700mA 耐压达 20V 的 USB 和 AC 输入限制 USB 上的输入电流 (100mA 500mA 1300mA 1800mA) 热调节 安全定时器 支持温度传感器输入具有集成 FET 开关的 3 个降压 DCDC 2 个可调节 LDO 2 个可被配置为 LDO 的独立负载开关 欠压闭锁和电池故障比较器常开按钮 监视器 硬件复位引脚受密码保护的 I 2 C 寄存器 ( 地址 0 x 24) 第 11 页

13 2.2 WON1101 核心板结构图 WON1101 核心板外观图 WON1101 核心板结构尺寸图 第 12 页

14 2.3 WON1101 核心板引脚功能分类 RGB 16 位 /24 位 LCD 接口 系统总线 GPMC (16 位数据 12 位地址 ) UARTs CAN 10/100/1000M RGMII/MII/RMII 接口 USB2.0 JTAG I2C SPI MCASP ADC SD/MMC GPIO 第 13 页

15 2.4 WON1101 核心板引脚定义图 J1 第 14 页

16 J2 第 15 页

17 2.5 WON1101 核心板引脚功能参考说明 WON1101 核心板引脚通过二组间距为 1.27mm 的排母引出, 每组排母 100pin, 排母 J1,J2 位置见核心板背面丝印层 WON1101 核心板引脚定义总表 J1 Pin Ball Pin Name V 描述 1 NC DC5V 5 电源输入 2 NC DC5V 5 电源输入 3 NC DC5V 5 电源输入 4 NC DC5V 5 电源输入 5 NC GND 0 地 6 NC GND 0 地 7 NC USB_DC 5 USB 电源输入 8 NC USB_DC 5 USB 电源输入 9 NC GND 0 地 10 NC GND 0 地 11 NC +3V7_BAT_LI 3.7 锂电池供电输入 12 NC +3V7_BAT_LI 3.7 锂电池供电输入 13 NC BAT_SENSE 3.3 电池传感器输入 14 NC BAT_TS 3.3 电池温度传感器输入 15 NC GND 0 地 16 NC GND 0 地 17 NC PWR_BUT 3.3 按键输入 ( 低有效 ) 18 NC F_GPIO_D1 3.3 FPGA 的 GPIO1 19 NC F_GPIO_D4 3.3 FPGA 的 GPIO4 20 NC F_GPIO_D2 3.3 FPGA 的 GPIO2 21 NC GND 0 地 22 NC F_GPIO_D3 3.3 FPGA 的 GPIO3 23 NC +1V8_BAT_RTC 3.3 RTC 电池输入 24 NC GND 0 地 25 NC GND 0 地 26 E18 UART0_CTS UART0 清除发送 uart4_rxd UART4 接收 dcan1_tx DCAN1 发送 I2C1_SDA I2C1 数据 spi1_d SPI1 数据 0 timer 定时器 7/PWM 输出 第 16 页

18 pr1_edc_sync0_out * PRU_ edc_sync0_out 数据输出 gpio1_ 通用 IO 27 F17 MMC0_D MMC/SD/SDIO 数据 3 gpmc_a GPMC 地址 20 uart4_ctsn UART 清除发送 timer 定时器 5/PWM 输出 uart1_dcdn UART1 数据载波检测 pr1_pru0_pru_r30_8* PRU 数据输出 pr1_pru0_pru_r31_8* PRU 数据输入 gpio2_ 通用 IO 28 E17 UART0_RTS UART0 请求发送 uart4_txd UART4 发送 dcan1_rx DCAN1 接收 I2C1_SCL I2C1 时钟 spi1_d SPI1 数据 1 spi1_cs SPI1 片选 pr1_edc_sync1_out * PRU_ edc_sync1_out 数据输出 gpio1_ 通用 IO 29 F18 MMC0_D MMC/SD/SDIO 数据 2 gpmc_a GPMC 地址 21 uart4_rtsn UART4 请求发送 timer 定时器 6/PWM 输出 uart1_dsrn UART1 发送准备 pr1_pru0_pru_r30_9* PRU 数据输出 pr1_pru0_pru_r31_9* PRU 数据输入 gpio2_ 通用 IO 30 NC GND 0 地 31 G15 MMC0_D MMC/SD/SDIO 数据 1 gpmc_a GPMC 地址 22 uart5_ctsn UART5 清除发送 uart3_rxd UART3 接收 uart1_dtrn UART1 数据终端就绪 pr1_pru0_pru_r30_10* PRU 数据发送 pr1_pru0_pru_r31_10* PRU 数据接收 gpio2_ 通用 IO 32 E16 UART0_TXD UART0 发送 spi1_cs SPI1 片选 dcan0_rx DCAN0 接收 I2C2_SCL I2C2 时钟 ecap1_in_pwm1_out 增强型捕捉 1 输入或辅助 PWM1 输出 pr1_pru1_pru_r30_15* PRU 数据输出 pr1_pru1_pru_r31_15* PRU 数据输入 第 17 页

19 gpio1_ 通用 IO 33 G16 MMC0_D MMC/SD/SDIO 数据 0 gpmc_a GPMC 地址 23 uart5_rtsn UART5 请求发送 uart3_txd UART3 发送 uart1_rin UART1 振铃指示 pr1_pru0_pru_r30_11* PRU 数据输出 pr1_pru0_pru_r31_11* PRU 数据输入 gpio2_ 通用 IO 34 E15 UART0_RXD UART0 接收 spi1_cs SPI1 片选 dcan0_tx DCAN0 发送 I2C2_SDA I2C2 数据 ecap2_in_pwm2_out 增强型捕捉 2 输入或辅助 PWM2 输出 pr1_pru1_pru_r30_14* PRU 数据输出 pr1_pru1_pru_r31_14* PRU 数据输入 gpio1_ 通用 IO 35 G18 MMC0_CMD MMC/SD/SDIO 命令 gpmc_a GPMC 地址 25 uart3_rtsn UART3 请求发送 uart2_txd UART2 发送 dcan1_rx DCAN1 接收 pr1_pru0_pru_r30_13* PRU 数据输出 pr1_pru0_pru_r31_13* PRU 数据输入 gpio2_ 通用 IO 36 NC F_GPIO_D9 3.3 FPGA 的 GPIO9 37 G17 MMC0_CLK MMC/SD/SDIO 时钟 gpmc_a GPMC 地址 24 uart3_ctsn UART3 清除发送 uart2_rxd UART2 接收 dcan1_tx DCAN1 发送 pr1_pru0_pru_r30_12* PRU 数据输出 pr1_pru0_pru_r31_12* PRU 数据输入 gpio2_ 通用 IO 38 D18 UART1_CTS UART1 清除发送 timer 定时器 6/PWM 输出 dcan0_tx DCAN0 发送 I2C2_SDA I2C2 数据 spi1_cs SPI1 片选 pr1_uart0_cts_n* PRU_UART0 清除发送 pr1_edc_latch0_in* PRU 数据输入 gpio0_ 通用 IO 第 18 页

20 39 C15 SPI0_CS SPI0 片选 uart3_rxd UART3 接收 ecap1_in_pwm1_out 增强型捕捉 1 输入或辅助 PWM1 输出 mmc0_pow MMC/SD0 电源开关控制 xdma_event_intr 外部 DMA 中断 2 mmc0_sdcd MMC/SD/SDIO 卡检测 CD/EMU MISC 仿真 4 gpio0_ 通用 IO 40 D17 UART1_RTS UART1 请求接收 timer 定时器 5/PWM 输出 dcan0_rx DCAN0 发送 I2C2_SCL I2C2 clock spi1_cs SPI1 片选 pr1_uart0_rts_n* PRU_UART0 请求发送 pr1_edc_latch1_in* PRU 数据输入 gpio0_ 通用 IO 41 NC F_GPIO_D8 3.3 FPGA 的 GPIO8 42 NC GND 0 地 43 C18 LCD_ENBKL LCD 背光控制 (PWM0 输出 ) uart3_txd UART3 发送 spi1_cs SPI1 发送 pr1_ecap0_ecap_capin_a PRU 增强型捕捉 0 输入或辅助 PWM0 输出 pwm_0* spi1_sclk SPI1 时钟 mmc0_sdwp MMC/SD/SDIO 写保护 xdma_event_intr 外部 DMA 中断 2 gpio0_ 通用 IO 44 D15 UART1_TXD UART1 发送 mmc2_sdwp MMC/SD/SDIO 写保护 dcan1_rx DCAN1 接收 I2C1_SCL I2C1 时钟 pr1_uart0_txd* PRU_UART0 发送 pr1_pru0_pru_r31_16* PRU 数据输入 gpio0_ 通用 IO 45 A15 XDMA_EVENT_INTR 外部 DMA 中断 0 timer 定时器 5/PWM 输出 clkout 时钟输出 1 spi1_cs SPI1 片选 pr1_pru1_pru_r31_16* PRU 数据输入 EMU MISC 仿真 2 gpio0_ 通用 IO 46 D16 UART1_RXD UART1 接收 第 19 页

21 mmc1_sdwp MMC/SD/SDIO 写保护 dcan1_tx DCAN1 发送 I2C1_SDA I2C1 数据 pr1_uart0_rxd* PRU_UART0 接收 pr1_pru1_pru_r31_16* PRU 数据输入 gpio0_ 通用 IO 47 D14 XDMA_EVENT_INTR 外部 DMA 中断 1 tclkin 时钟输入 CLKOUT 时钟输出 2 timer 定时器 7 / PWM 输出 pr1_pru0_pru_r31_16* PRU 数据输入 EMU Misc 仿真 3 gpio0_ 通用 IO 48 NC F_GPIO_D7 3.3 FPGA 的 GPIO7 49 NC F_GPIO_D FPGA 的 GPIO14 50 C17 I2C0_SDA I2C0 数据 timer 定时器 4 / PWM 输出 uart2_ctsn UART2 清除发送 ecap2_in_pwm2_out 增强型捕捉 2 输入 /PWM2 输出 gpio3_ 通用 IO 51 NC F_GPIO_D FPGA 的 GPIO15 52 C16 I2C0_SCL I2C0 时钟 timer 定时器 7 / PWM 输出 uart2_rtsn UART2 请求发送 ecap1_in_pwm1_out 增强型捕捉 1 输入 /PWM1 输出 gpio3_ 通用 IO 53 A13 MCASP0_ACLKX McASP0 发送时钟 ehrpwm0a ehrpwm0 A 输出 SPI1_SCLK SPI1 时钟 mmc0_sdcd MMC/SD/SDIO 检测 pr1_pru0_pru_r30_0* PRU 数据输出 pr1_pru0_pru_r31_0* PRU 数据输入 gpio3_ 通用 IO 54 NC GND 0 地 55 B13 MCASP0_FSX McASP0 发送帧同步 ehrpwm0b ehrpwm0 B 输出 SPI1_D SPI1 数据 0 mmc1_sdcd SD 卡检测 pr1_pru0_pru_r30_18* PRU 数据输出 pr1_pru0_pru_r31_1* PRU 数据输入 gpio3_ 通用 IO 56 A16 SPI0_CS SPI0 片选 第 20 页

22 mmc2_sdwp MMC/SD/SDIO 写保护 I2C1_SCL I2C1 时钟 ehrpwm0_synci ehrpwm0 同步 pr1_uart0_txd* PRU_UART0 发送 pr1_edio_data_in1* PRU 数据输入 pr1_edio_data_out1* PRU 数据输出 gpio0_ 通用 IO 57 D12 MCASP0_AXR McASP0 串行数据 ehrpwm0_trip_zone_input ehrpwm0 堆栈输入 SPI1_D SPI1 数据 mmc2_sdcd SD 检测 pr1_pru0_pru_r30_2* PRU 数据输出 pr1_pru0_pru_r31_2* PRU 数据输入 gpio3_ 通用 IO 58 B16 SPI0_D SPI 数据 mmc1_sdwp MMC/SD/SDIO 写保护 I2C1_SDA I2C1 数据 ehrpwm0_tripzone_input ehrpwm0 堆栈区输入 pr1_uart0_rxd* PRU UART 接收 pr1_edio_data_in0* PRU 数据输入 pr1_edio_data_out0* PRU 数据输出 gpio0_ 通用 IO 59 C12 MCASP0_AHCLKR McASP0 接收主时钟 ehrpwm0_synci ehrpwm0 同步输入 mcasp0_axr McASP0 串行数据 SPI1_CS SPI 片选 1 ecap2_in_pwm2_out 增强捕捉 2 输入和 PWM2 输出 pr1_pru0_pru_r30_3* PRU 数据输出 pr1_pru0_pru_r31_3* PRU 数据输入 gpio3_ 通用 IO 60 NC F_GPIO_D6 3.3 FPGA 的 GPIO6 61 B12 MCASP0_ACLKR McASP0 接收位时钟 eqep0a_in eqep0a 输入 mcasp0_axr McASP0 串行数据 mcasp1_aclkx McASP1 发送位时钟 mmc0_sdwp SD 写保护 pr1_pru0_pru_r30_4* PRU 数据输出 pr1_pru0_pru_r31_4* PRU 数据输入 GPIO3_ 通用 IO 62 B17 SPI0_D SPI0 数据 UART2_TXD UART2 发送 I2C2_SCL I2C2 时钟 第 21 页

23 ehrpwm0b ehrpwm0 B 输出 pr1_uart0_rts_n* PRU_ART0 请求发送 pr1_edio_latch_in* PRU_ 锁存器输入 EMU Misc 仿真 3 gpio0_ 通用 IO 63 A14 MCASP0_AHCLKX McASP0 接收主时钟 eqep0_strobe qqep1 选通 mcasp0_axr McASP0 串行数据 mcasp1_axr McASP1 串行数据 EMU Misc 仿真 4 pr1_pru0_pru_r30_7* PRU0 数据输出 pr1_pru0_pru_r31_7* PRU0 数据输入 GPIO3_ 通用 IO 64 A17 SPI0_SCLK SPI0 时钟 UART2_RXD UART2 接收 I2C2_SDA I2C2 数据 ehrpwm0a ehrpwm0 A 输出 pr1_uart0_cts_n* PRU_UART 清除发送 pr1_edio_sof* PRU_ 起始帧 EMU Misc 仿真 2 gpio0_2* 通用 IO 65 C13 MCASP0_FSR McASP0 接收帧同步 eqep0b_in eqep0b 输入 mcasp0_axr mcasp0 串行数据 mcasp1_fsx McASP1 发送帧同步 EMU Misc 仿真 2 pr1_pru0_pru_r30_5* PRU0 数据输出 pr1_pru0_pru_r31_5* PRU0 数据输入 GPIO3_ 通用 IO 66 NC F_GPIO_D5 3.3 FPGA 的 GPIO5 67 D13 MCASP0_AXR mcasp0 串行数据 eqep0_index eqep0 索引 mcasp1_axr mcasp1 串行数据 EMU Misc 仿真 3 pr1_pru0_pru_r30_6* PRU0 数据输出 pr1_pru0_pru_r31_6* PRU0 数据输入 GPIO3_ 通用 IO 68 NC GND 0 地 69 NC GND 0 地 70 B7 Rscreen_Yup 3.3 模拟输入 2 71 C8 AIN4 3.3 模拟输入 4 72 NC GND 0 地 第 22 页

24 73 NC GND 0 地 74 A7 Rscreen_YDown 3.3 模拟输入 3 75 B8 AIN5 3.3 模拟输入 5 76 NC GND 0 地 77 NC GND 0 地 78 B6 Rscreen_XLeft 3.3 模拟输入 0 79 A8 AIN6 3.3 模拟输入 6 80 NC GND 0 地 81 NC GND 0 地 82 A7 Rscreen_XRight 3.3 模拟输入 1 83 C9 AIN7 3.3 模拟输入 7 84 NC GND 0 地 85 NC GND 0 地 86 B10 JTAG_TRSTn 3.3 JTAG 测试复位 87 NC F_GPIO_D12 FPGA 的 GPIO12 88 A11 JTAG_TDO 3.3 JTAG 测试数据输出 89 NC F_GPIO_D13 FPGA 的 GPIO13 90 B11 JTAG_TDI 3.3 JTAG 测试数据输入 91 A10 SYS_WARMRESETn 3.3 系统复位 92 A12 JTAG_TCK 3.3 JTAG 测试时钟 93 NC F_GPIO_D0 3.3 FPGA 的 GPIO0 94 C11 JTAG_TMS 3.3 JTAG 测试模式选择 95 NC F_GPIO_D FPGA 的 GPIO10 96 C14 JTAG_EMU0 3.3 MISC 仿真 0 GPIO3_7 3.3 通用 IO 97 NC F_GPIO_D FPGA 的 GPIO11 98 B14 JTAG_EMU1 3.3 MISC 仿真 1 GPIO3_8 3.3 通用 IO 99 NC GND 0 地 100 NC GND 0 地 J2 Pin Ball Pin Name V 描述 1 P15 USB0_VBUS 5 USB0_VBUS 2 NC GND 0 地 3 F16 USB0_DRVVBUS 3.3 USB0 VBUS 控制输出 gpio0_ 通用 IO 4 N18 USB0_DM 3.3 USB0 数据负 5 P16 USB0_ID 3.3 USB0 OTG 标识 6 N17 USB0_DP 3.3 USB0 数据正 第 23 页

25 7 NC GND 0 地 8 NC GND 0 地 9 P17 USB0_ID 3.3 USB1 OTG 标识 10 R18 USB1_DM 3.3 USB1 数据负 11 F15 USB1_DRVVBUS 3.3 USB1 VBUS 控制输出 GPIO3_ 通用 IO 12 R17 USB1_DP 3.3 USB1 数据正 13 T18 USB1_VBUS 5 USB1_VBUS 14 NC GND 0 地 15 NC GND 0 地 16 J16 GMII1_TXEN GMII1 发送使能 mii1_txen MII1 发送使能 RGMII1_TXEN RGMII1 发送控制 timer 定时器 4/PWM 输出 mcasp1_axr McASP1 穿行数据 eqep0_index eqep0 索引 mmc2_cmd MMC/SD/SDIO 命令 gpio3_ 通用 IO 17 H18 RMII1_REFCLK MII1 参考时钟 xdma_event_intr 外部 DMA 中断 2 spi1_cs SPI1 片选 uart5_txd UART5 发送 mcasp1_axr McASP1 串行数据 mmc0_pow MMC/SD/SDIO 电源开关控制 mcasp1_ahclkx McASP1 发送主时钟 gpio0_ 通用 IO 18 K18 GMII1_TXCLK GMII1 发送时钟 uart2_rxd UART2 接收 RGMII1_TXCLK RGMII 发送时钟 mmc0_dat MMC/SD/SDIO 数据 7 mmc1_dat MMC/SD/SDIO 数据 0 uart1_dcdn UART1 数据载波检测 mcasp0_aclkx McASP0 发送位时钟 gpio3_ 通用 IO 19 H17 RGMII1_CRS MII1 载波侦听 rmii1_crs RMII1 载波侦听 spi1_d SPI1 数据 I2C1_SDA I2C1 数据 mcasp1_aclkx McASP1 发送位时钟 uart5_ctsn UART5 清除发送 uart2_rxd UART2 接收 gpio3_ 通用 IO 第 24 页

26 20 K17 GMII1_TXD MII1 发送数据 0 rmii1_txd RMII1 发送数据 0 RGMII1_TXD RGMII1 发送数据 0 mcasp1_axr McASP1 串行数据 mcasp1_aclkr McASP1 接收位时钟 eqep0b_in eqep0b 正交输入 mmc1_clk MMC/SD/SDIO 时钟 gpio0_ 通用 IO 21 H16 RGMII1_COL MII1 冲突检测 rmii2_refclk RMII2 参考时钟 spi1_sclk SPI1 时钟 uart5_rxd UART5 接收数据 mcasp1_axr McASP1 串行数据 mmc2_dat MMC/SD/SDIO 数据 3 mcasp0_axr McASP0 串行数据 gpio3_ 通用 IO 22 K16 GMII1_TXD MII1 发送数据 1 rmii1_txd RMII1 发送数据 1 RGMII1_TXD RGMII1 发送数据 1 mcasp1_fsr McASP1 接收帧同步 mcasp1_axr McASP1 串行数据 eqep0a_in eqep0a 正交输入 mmc1_cmd MMC/SD/SDIO 命令 gpio0_ 通用 IO 23 J15 RGMII1_RXERR MII1 接收数据错误指示 rmii1_rxerr RMII1 接收数据错指示 spi1_d SPI1 数据 I2C1_SCL I2C1 时钟 mcasp1_fsx McASP1 发送帧同步 uart5_rtsn UART5 请求发送 uart2_txd UART2 发送 gpio3_ 通用 IO 24 K15 GMII1_TXD MII1 发送数据 2 dcan0_rx DCAN0 接收 RGMII1_TXD RGMII1 发送数据 2 uart4_txd UART4 发送 mcasp1_axr McASP1 串行数据 mmc2_dat MMC/SD/SDIO 数据 mcasp0_ahclkx McASP0 发送主时钟 gpio0_ 通用 IO 25 NC GND 0 地 26 J18 GMII1_TXD MII1 发送数据 3 第 25 页

27 dcan0_tx DCAN0 发送 RGMII1_TXD RGMII 发送数据 3 uart4_rxd UART4 接收 mcasp1_fsx McASP1 发送帧同步 mmc2_dat MMC/SD/SDIO 数据 mcasp0_fsr McASP0 接收帧同步 gpio0_ 通用 IO 27 L18 GMII1_RXCLK MII1 接收时钟 uart2_txd UART2 发送 RGMII1_RXCLK RGMII1 接收时钟 mmc0_dat MMC/SD/SDIO 数据 mmc1_dat MMC/SD/SDIO 数据 uart1_dsrn UART1 数据设备就绪 mcasp0_fsx McASP0 发送帧同步 gpio3_ 通用 IO 28 NC GND 0 地 29 J17 RGMII1_RXDV MII1 接收数据有效 lcd_memory_clk LCD 主时钟 rgmii1_rctl RGMII1 接收控制 uart5_txd UART5 发送数据 mcasp1_aclkx McASP1 发送位时钟 mmc2_dat MMC/SD/SDIO 数据 mcasp0_aclkr McASP0 接收位时钟 gpio3_ 通用 IO 30 T17 GPMC_WAIT GPMC 等待 gmii2_crs MII2 载波侦听 gpmc_csn GPMC 片选 mii2_crs_dv RMII2 载波侦听 mmc1_sdcd MMC/SD/SDIO 检测 pr1_mii1_col MII1 冲突检测 uart4_rxd UART4 接收 gpio0_ 通用 IO 31 L17 GMII1_RXD MII1 接收数据 3 uart3_rxd UART3 接收 RGMII1_RXD RGMII1 接收数据 3 mmc0_dat MMC/SD/SDIO 数据 mmc1_dat MMC/SD/SDIO 数据 uart1_dtrn UART1 数据终端准备好 mcasp0_axr McASP0 串行数据 gpio2_ 通用 IO 32 U18 GPMC_BEn GPMC 位使能 1 gmii2_col MII2 冲突检测 第 26 页

28 gpmc_csn GPMC 片选 mmc2_dat MMC/SD/SDIO 数据 3 gpmc_dir GPMC 数据方向 pr1_mii1_rxlink MII1 接收连接 mcasp0_aclkr McASP0 接收位时钟 gpio1_ 通用 IO 33 L16 GMII1_RXD MII1 接收数据 2 uart3_txd UART3 发送 RGMII1_RXD RGMII1 接收数据 2 mmc0_dat MMC/SD/SDIO 数据 mmc1_dat MMC/SD/SDIO 数据 uart1_rin UART1 振铃指示 mcasp0_axr McASP0 串行数据 gpio2_ 通用 IO 34 U17 GPMC_WPn GPMC 写保护 gmii2_rxerr MII2 接收数据错 gpmc_csn GPMC 片选 rmii2_rxerr RMII2 接收数据错 mmc2_sdcd MMC/SD/SDIO 检测 pr1_mii1_txen MII1 允许发送 uart4_txd UART4 发送数据 gpio_ 通用 IO 35 L15 GMII1_RXD MII1 接收数据 1 rmii1_rxd RMII 接收数据 1 RGMII1_RXD RGMII 接收数据 1 mcasp1_axr McASP1 串行数据 mcasp1_fsr McASP1 接收帧同步 eqep0_strobe eqep0 选通 mmc2_clk MMC/SD/SDIO 时钟 gpio2_ 通用 IO 36 NC GND 0 地 37 M16 GMII1_RXD MII1 接收数据 0 rmii1_rxd RMII1 接收数据 0 RGMII1_RXD RGMII1 接收数据 0 mcasp1_ahclkx McASP1 发送主时钟 mcasp1_ahclkr McASP1 接收主时钟 mcasp1_aclkr McASP1 接收位时钟 mcasp0_axr McASP0 串行数据 gpio2_ 通用 IO 38 T15 GPMC_A GPMC 地址 7 gmii2_rxclk MII2 接收时钟 rgmii2_rclk RGMII2 接收时钟 第 27 页

29 mmc2_dat MMC/SD/SDIO 数据 5 gpmc_a GPMC 地址 23 pr1_mii1_rxd1* PRU_MII1 接收数据 1 eqep1_strobe eqep1 选通 gpio1_ 通用 IO 39 M18 GMII1_MDIO_CLK MDIO 数据 timer 定时器 5 / PWM 输出 uart5_txd UART5 发送 uart3_rtsn UART3 请求发送 mmc0_sdwp MMC/SD/SDIO 写保护 mmc1_clk MMC/SD/SDIO 时钟 mmc2_clk MMC/SD/SDIO 时钟 gpio0_ 通用 IO 40 V14 GPMC_A GPMC 地址 1 gmii2_rxdv MII2 接收数据有效 rgmii2_rctl RGMII2 接收控制 mmc2_dat MMC/SD/SDIO 数据 gpmc_a GPMC 地址 17 pr1_mii1_txd3* MII1 发送数据 3 ehrpwm0_synco ehrpwm0 同步输出 gpio1_ 通用 IO 41 M17 GMII1_MDIO_DATA MDIO 数据 timer 定时器 6 / PWM 输出 uart5_rxd UART5 接收 uart3_ctsn UART3 清除发送 mmc0_sdcd MMC/SD/SDIO 检测 mmc1_cmd MMC/SD/SDIO 命令 mmc2_cmd MMC/SD/SDIO 命令 gpio0_ 通用 IO 42 V17 GPMC_A GPMC 地址 11 gmii2_rxd MII2 接收数据 0 rgmii2_rd RGMII2 接收数据 0 rmii2_rxd RMII2 接收数据 0 gpmc_a GPMC 地址 27 pr1_mii_rxer* PRU_MII 接收数据错 mcasp0_axr McASP 串行数据 gpio1_ 通用 IO 43 NC GND 0 地 44 T16 GPMC_A GPMC 地址 10 gmii2_rxd MII2 接收数据 1 rgmii2_rd RGMII2 接收数据 1 rmii2_rxd RMII2 接收数据 1 第 28 页

30 gpmc_a GPMC 地址 26 pr1_mii_rxdv* PRU_MII 接收数据有效 mcasp0_axr McASP 串行数据输出 gpio1_ 通用 IO 45 U14 GPMC_A GPMC 地址 2 gmii2_txd MII2 发送数据 3 rgmii2_td RGMII2 发送数据 3 mmc2_dat MMC/SD/SDIO 数据 gpmc_a GPMC 地址 18 pr1_mii1_txd2* PRU_MII 发送数据 2 ehrpwm1a ehrpwm1 A 输出 gpio1_ 通用 IO 46 U16 GPMC_A GPMC 地址 9 gmii2_rxd MII2 接收数据 2 rgmii2_rd RGMII2 接收数据 2 mmc2_dat MMC/SD/SDIO 数据 gpmc_a GPMC 地址 25 pr1_mii_mr1_clk* PRU_MII 接收时钟 mcasp0_fsx McASP0 发送帧同步 gpio1_ 通用 IO 47 T14 GPMC_A GPMC 地址 3 gmii2_txd MII2 发送数据 2 rgmii2_td RGMII2 发送数据 2 mmc2_dat MMC/SD/SDIO 数据 gpmc_a GPMC 地址 19 pr1_mii1_txd1* PRU_MII 发送数据 1 ehrpwm1b ehrpwm1 B 输出 gpio1_ 通用 IO 48 V16 GPMC_A GPMC 地址 8 gmii2_rxd MII2 接收数据 3 rgmii2_rd RGMII2 接收数据 3 mmc2_dat MMC/SD/SDIO 数据 gpmc_a GPMC 地址 24 pr1_mii1_rxd0* PRU_MII 接收数据 mcasp0_aclkx McASP0 发送位时钟 gpio1_ 通用 IO 49 R14 GPMC_A GPMC 地址 4 gmii2_txd MII2 发送数据 1 rgmii2_td RGMII2 发送数据 1 rmii2_txd RMII2 发送数据 1 gpmc_a GPMC 地址 20 pr1_mii1_txd0* PRU_MII 发送数据 第 29 页

31 eqep1a_in eqep1a 正交输入 gpio1_ 通用 IO 50 NC GND 0 地 51 V15 GPMC_A GPMC 地址 5 gmii2_txd RMII2 发送数据 0 rgmii2_td RGMII2 发送数据 0 rmii2_txd RMII2 发送数据 0 gpmc_a GPMC 地址 21 pr1_mii1_rxd3* PRU_MII 接收数据 eqep1b_in eqep1b 正交输入 gpio1_ 通用 IO 52 U13 GPMC_D GPMC 数据 15 LCD_DAT LCD 数据 16 mmc1_dat MMC/SD/SDIO 数据 mmc2_dat MMC/SD/SDIO 数据 eqep2_strobe eqep2 选通 pr1_ecap0_ecap_capin_ 增强捕捉输入 / PWM 输出 apwm_o* pr1_pru0_pru_r31_15* PRU 数据输入 gpio1_ 通用 IO 53 U15 GPMC_A GPMC 地址 6 gmii2_txclk MII2 发送时钟 rgmii2_tclk RGMII2 发送时钟 mmc2_dat MMC/SD/SDIO 数据 gpmc_a GPMC 地址 22 pr1_mii1_rxd2* PRU_MII 接收数据 eqep1_index eqep1 指示 gpio1_ 通用 IO 54 V13 GPMC_D GPMC 数据 14 LCD_DAT LCD 数据 17 mmc1_dat MMC/SD/SDIO 数据 mmc2_dat MMC/SD/SDIO 数据 eqep2_index eqep2 索引 pr1_mii0_txd0* PRU_MII 发送数据 pr1_pru0_pru_r31_14* PRU 数据输入 gpio1_ 通用 IO 55 R13 GPMC_A GPMC 地址 0 gmii2_txen MII2 发送使能 rgmii2_tctl RGMII2 发送控制 rmii2_txen RMII2 发送使能 gpmc_a GPMC 地址 16 pr1_mii_mt1_clk* PRU_MII 发送时钟 第 30 页

32 ehrpwm1_tripzone_input ehrpwm1 堆栈输入 gpio1_ 通用 IO 56 R12 GPMC_D GPMC 数据 13 LCD_DAT LCD 数据 18 mmc1_dat MMC/SD/SDIO 数据 mmc2_dat MMC/SD/SDIO 数据 eqep2b_in eqep2b 正交输入 pr1_mii0_txd1* PRU_MII 发送数据 pr1_pru0_pru_r30_15* PRU 数据输出 gpio1_ 通用 IO 57 NC GND 0 地 58 T12 GPMC_D GPMC 数据 12 LCD_DAT LCD 数据 19 mmc1_dat MMC/SD/SDIO 数据 mmc2_dat MMC/SD/SDIO 数据 eqep2a_in eqep2a 正交输入 pr1_mii0_txd2* PRU_MII 发送数据 pr1_pru0_pru_r30_14* PRU 数据发送 gpio1_ 通用 IO 59 T9 GPMC_D GPMC 数据 7 mmc1_dat MMC/SD/SDIO 数据 gpio1_ 通用 IO 60 U12 GPMC_D GPMC 数据 11 LCD_DAT LCD 数据 20 mmc1_dat MMC/SD/SDIO 数据 mmc2_dat MMC/SD/SDIO 数据 ehrpwm0_synco ehrpwm0 同步输出 pr1_mii0_txd3* PRU_MII 发送 gpio0_ 通用 IO 61 R9 GPMC_D GPMC 数据 6 mmc1_dat MMC/SD/SDIO 数据 gpio1_ 通用 IO 62 T11 GPMC_D GPMC 数据 10 LCD_DAT LCD 数据 21 mmc1_dat MMC/SD/SDIO 数据 mmc2_dat MMC/SD/SDIO 数据 ehrpwm2_tripzone_input ehrpwm2 堆栈区输入 pr1_mii0_txen* PRU_MII 发送使能 gpio0_ 通用 IO 63 V8 GPMC_D GPMC 数据 5 mmc1_dat MMC/SD/SDIO 数据 gpio1_ 通用 IO 第 31 页

33 64 T10 GPMC_D GPMC 数据 9 LCD_DAT LCD 数据 22 mmc1_dat MMC/SD/SDIO 数据 mmc2_dat MMC/SD/SDIO 数据 ehrpwm2b ehrpwm2 B 输出 pr1_mii0_col* PRU_MII 冲突检测 gpio0_ 通用 IO 65 U8 GPMC_D GPMC 数据 4 mmc1_dat MMC/SD/SDIO 数据 gpio1_ 通用 IO 66 U10 GPMC_D GPMC 数据 8 LCD_DAT LCD 数据 23 mmc1_dat MMC/SD/SDIO 数据 mmc2_dat MMC/SD/SDIO 数据 ehrpwm2a ehrpwm2 A 输出 pr1_mii_mt0_clk* PRU_MII 发送时钟 gpio0_ 通用 IO 67 T8 GPMC_D GPMC 数据 3 mmc1_dat MMC/SD/SDIO 数据 gpio1_ 通用 IO 68 T5 LCD_D LCD 数据 15 gpmc_a GPMC 地址 19 eqep1_strobe eqep1 选通 mcasp0_ahclkx McASP0 发送主时钟 mcasp0_axr McASP0 穿行数据 pr1_mii0_rxdv* PRU_MII 接收数据有效 uart5_rtsn UART5 请求发送 gpio0_ 通用 IO 69 R8 GPMC_D GPMC 数据 2 mmc1_dat MMC/SD/SDIO 数据 gpio1_ 通用 IO 70 V4 LCD_D LCD 数据 14 gpmc_a GPMC 地址 18 eqep1_index eqep1 索引 mcasp0_axr McASP1 串行数据 uart5_rxd UART5 接收 pr1_mii_mr0_clk* PRU_MII 接收时钟 uart5_ctsn UART5 清除发送 gpio0_ 通用 IO 71 V7 GPMC_D GPMC 数据 1 mmc1_dat MMC/SD/SDIO 数据 gpio1_ 通用 IO 第 32 页

34 72 V3 LCD_D LCD 数据 13 gpmc_a GPMC 地址 17 eqep1b_in eqep1b 正交输入 mcasp0_fsr McASP0 接收帧同步 mcasp0_axr McASP0 串行数据 pr1_mii0_rxer* PRU_MII 接收错误指示 uart4_rtsn UART4 请求发送 gpio0_ 通用 IO 73 U7 GPMC_D GPMC 数据 0 mmc1_dat MMC/SD/SDIO 数据 gpio1_ 通用 IO 74 V2 LCD_D LCD 数据 12 gpmc_a GPMC 地址 16 eqep1a_in eqep1a 正交输入 mcasp0_aclkr McASP 接收位时钟 mcasp0_axr McASP0 串行数据 pr1_mii0_rxlink* PRU_MII 接收连接 uart4_ctsn UART4 清除发送 gpio0_ 通用 IO 75 V12 GPMC_CLK GPMC 时钟 Lcd_memory_clk LCD 主时钟 gpmc_wait GPMC 等待 MMC2_CLK MMC/SD/SDIO 时钟 pr1_mii1_crs* PRU_MII 载波侦听 pr1_mdio_mdclk* PRU_MDIO 时钟 mcasp0_fsr McASP0 接收帧同步 gpio2_ 通用 IO 76 U4 LCD_D LCD 数据 11 gpmc_a GPMC 地址 15 ehrpwm1b ehrpwm1 B 输出 mcasp0_ahclkr McASP0 接收主时钟 mcasp0_axr McASP0 串行数据 pr1_mii0_rxd0* PRU_MII 接收 uart3_rtsn UART3 请求发送 gpio2_ 通用 IO 77 T13 GPMC_CSn GPMC 片选 gpmc_a GPMC 地址 3 rmii2_crs_dv RMII2 载波侦听 MMC2_CMD MMC/SD/SDIO 命令 pr1_mii0_crs* PRU_MII 载波侦听 pr1_mdio_data* MDIO 数据 EMU 仿真 4 第 33 页

35 gpio2_ 通用 IO 78 U3 LCD_D LCD 数据 10 gpmc_a GPMC 地址 14 ehrpwm1a ehrpwm1 A 输出 mcasp0_axr McASP0 串行数据 pr1_mii0_rxd1* PRU_MII 接收数据 uart3_ctsn UART3 清除发送 gpio2_ 通用 IO 79 V9 GPMC_CS2n GPMC 片选 gpmc_be1n GPMC 位使能 mmc1_cmd MMC/SD/SDIO 命令 pr1_edio_data_in7* PRU_ 数据输入 pr1_edio_data_out7* PRU_ 数据输出 pr1_pru1_pru_r30_13* PRU_ 数据输出 pr1_pru1_pru_r31_13* PRU_ 数据输入 gpio1_ 通用 IO 80 U2 LCD_D LCD 数据 9 gpmc_a GPMC 地址 13 ehrpwm0_synco ehrpwm0 同步输出 mcasp0_fsx McASP0 发送帧同步 uart5_rxd UART5 接收 pr1_mii0_rxd2* PRU_MII 接收 uart2_rtsn UART2 允许发送 gpio2_ 通用 IO 81 U9 GPMC_CSn GPMC 片选 gpmc_clk GPMC 时钟 mmc1_clk MMC/SD/SDIO 时钟 pr1_edio_data_in6* PRU_ 数据输入 pr1_edio_data_out6* PRU_ 数据输出 pr1_pru1_pru_r30_12* PRU_ 数据输出 pr1_pru1_pru_r31_12* PRU_ 数据输入 gpio1_ 通用 IO 82 U1 LCD_D LCD 数据 8 gpmc_a GPMC 地址 12 ehrpwm1_tripzone_input ehrpwm1 堆栈输入 mcasp0_aclkx McASP0 发送位时钟 uart5_txd UART5 发送 pr1_mii0_rxd3* PRU_MII 接收 uart2_ctsn UART2 清除发送 gpio2_ 通用 IO 83 T6 GPMC_BEn0_CLE GPMC 位使能 / 命令锁存使能 timer 定时器 5 / PWM 输出 第 34 页

36 gpio2_ 通用 IO 84 T4 LCD_D LCD 数据 7 gpmc_a GPMC 地址 7 pr1_edio_data_in7* PRU_ 数据输入 eqep2_strobe qqep2 选通 pr1_edio_data_out7* PRU_ 数据输出 pr1_pru1_pru_r30_7* PRU_ 数据输出 pr1_pru1_pru_r31_7* PRU_ 数据输入 gpio2_ 通用 IO 85 R7 GPMC_ADVn_ALE GPMC 地址有效 / 地址锁存有效 timer 定时器 4 / PWM 输出 gpio2_ 通用 IO 86 T3 LCD_D LCD 数据 6 gpmc_a GPMC 地址 6 pr1_edio_data_in6* PRU_ 数据输入 eqep2_index eqep2 索引 pr1_edio_data_out6* PRU_ 数据输出 pr1_pru1_pru_r30_6* PRU_ 数据输出 pr1_pru1_pru_r31_6* PRU_ 数据输入 gpio2_ 通用 IO 87 T7 GPMC_OEn_REn GPMC 输出 / 读使能 timer 定时器 4 / PWM 输出 gpio2_ 通用 IO 88 T2 LCD_D LCD 数据 5 gpmc_a GPMC 地址 5 pr1_mii0_txd0* PRU_MII 发送 eqep2b_in eqep2b 正交输入 pr1_pru1_pru_r20_5* PRU 数据输出 pr1_pru1_pru_r31_5* PRU 数据输入 gpio2_ 通用 IO 89 U6 GPMC_WEn GPMC 写使能 timer 定时器 6 / PWM 输出 gpio2_ 通用 IO 90 T1 LCD_D LCD 数据 4 gpmc_a GPMC 地址 4 pr1_mii0_txd1* PRU_MII 接收 eqep2a_in eqep2a 正交输入 pr1_pru1_pru_r30_4* PRU 数据输出 pr1_pru1_pru_r31_4* PRU 数据输入 gpio2_ 通用 IO 91 V5 LCD_PCLK LCD 像素时钟 gpmc_a GPMC 地址 10 第 35 页

37 pr1_mii0_crs* PRU_MII 载波侦听 pr1_edio_data_in4* PRU 数据输入 pr1_edio_data_out4* PRU 数据输出 pr1_pru1_pru_r30_10* PRU 数据输出 pr1_pru1_pru_r31_10* PRU 数据输入 gpio2_ 通用 IO 92 R4 LCD_D LCD 数据 3 gpmc_a GPMC 地址 3 pr1_mii0_txd2* PRU_MII 发送 ehrpwm0_synco ehrpwm0 同步输出 pr1_pru1_pru_r30_3* PRU 数据输出 pr1_pru1_pru_r31_3* PRU 数据输入 gpio2_ 通用 IO 93 R5 LCD_HSYNC LCD 水平同步 gpmc_a GPMC 地址 9 gpmc_a GPMC 地址 2 pr1_edio_data_in3* PRU 数据输入 pr1_edio_data_out3* PRU 数据输出 pr1_pru1_pru_r30_9* PRU 数据输出 pr1_pru1_pru_r31_9* PRU 数据输入 gpio2_ 通用 IO 94 R3 LCD_D LCD 数据 2 gpmc_a GPMC 地址 2 pr1_mii0_txd3* PRU_MII 发送 ehrpwm2_tripzone_input ehrpwm2 堆栈区输入 pr1_pru1_pru_r30_2* PRU 数据输出 pr1_pru1_pru_r31_2* PRU 数据输入 gpio2_ 通用 IO 95 U5 LCD_VSYNC LCD 垂直同步 gpmc_a GPMC 地址 8 gpmc_a GPMC 地址 1 pr1_edio_data_in2* PRU 数据输入 pr1_edio_data_out2* PRU 数据输出 pr1_pru1_pru_r30_8* PRU 数据输出 pr1_pru1_pru_r31_8* PRU 数据输入 gpio2_ 通用 IO 96 R2 LCD_D LCD 数据 1 gpmc_a GPMC 地址 1 pr1_mii0_txen* PRU_MII 发送使能 ehrpwm2b ehrpwm2 B 输出 pr1_pru1_pru_r30_1* PRU 数据输出 pr1_pru1_pru_r31_1* PRU 数据输入 第 36 页

38 gpio2_ 通用 IO 97 R6 LCD_AC_BIAS_EN LCD AC 偏置使能 gpmc_a GPMC 地址 11 pr1_mii1_crs* PRU_MII 载波侦听 pr1_edio_data_in5* PRU 数据输入 pr1_edio_data_out5* PRU 数据输出 pr1_pru1_pru_r30_11* PRU 数据输出 pr1_pru1_pru_r31_11* PRU 数据输入 gpio2_ 通用 IO 98 R1 LCD_D LCD 数据 0 gpmc_a GPMC 地址 0 pr1_mii_mt0_clk* PRU_MII 发送时钟 ehrpwm2a ehrpwm2 A 输出 pr1_pru1_pru_r30_0* PRU 数据输出 pr1_pru1_pru_r31_0* PRU 数据输入 gpio2_ 通用 IO 99 NC GND 0 地 100 NC GND 0 地 注 : 带 * 表示 AM3354 不含此功能 WON1101 核心板电源接口 WON1101 核心板使用电源管理芯片 TPS65217 对 CPU 提供灵活的电源配置和能耗管理 引脚信号名称类型功能描述 (J1) VDD5V POWER 电源输入端 +5V, 主电源供电 (J1) 7 8 USB_DC POWER 外部 USB 供电 (J1) BAT POWER 外部电池供电 WON1101 核心板系统控制接口 引脚信号名称功能描述 (J1) 91 SYS_WARMESET 核心板复位信号 boot 配置引脚 LCD 引脚同时做为系统启动配置引脚使用 WON1101 的默认启动配置为 启动顺序 NAND NANDI2C MMC0 UART0 第 37 页

39 Boot Configuration 第 38 页

40 附 : 系统启动配置引脚表格 () 第 39 页

41 第 40 页

42 MMC1: MMC or SD card (MMC port 1) MMC0: MMC or SD card (MMC port 0) NAND / NANDI2C: NAND flash memory / EEPROM on I2C0 XIP: NOR or other XIP device XIP w/ WAIT: NOR or other XIP device MUX1: Boot with XIP_MUX1 signals MUX2: Boot with XIP_MUX2 signals UART0: UART interface (UART port 0) EMAC1: Ethernet interface (EMAC port 1) SPI0: SPI EEPROM (SPI0, CS0) USB0: USB interface (USB0) 第 41 页

43 2.6 WON1101 核心板默认功能描述 通用存储控制器 (GPMC) 总线 通用存储器控制器 (GPMC) 是用于连接外部存储设备 : 如伪 SRAM,NAND 闪存,NOR 闪存,SRAM 或现场可编程门阵列 (FPGA) 等 引脚 信号 描述 J2_73 GPMC_D0 GPMC 数据 0 J2_71 GPMC_D1 GPMC 数据 1 J2_69 GPMC_D2 GPMC 数据 2 J2_67 GPMC_D3 GPMC 数据 3 J2_65 GPMC_D4 GPMC 数据 4 J2_63 GPMC_D5 GPMC 数据 5 J2_61 GPMC_D6 GPMC 数据 6 J2_59 GPMC_D7 GPMC 数据 7 J2_66 GPMC_D8 GPMC 数据 8 J2_64 GPMC_D9 GPMC 数据 9 J2_62 GPMC_D10 GPMC 数据 10 J2_60 GPMC_D11 GPMC 数据 11 J2_58 GPMC_D12 GPMC 数据 12 J2_56 GPMC_D13 GPMC 数据 13 J2_54 GPMC_D14 GPMC 数据 14 J2_52 GPMC_D15 GPMC 数据 15 J2_55 GPMC_A0 GPMC 地址 0 J2_40 GPMC_A1 GPMC 地址 1 J2_45 GPMC_A2 GPMC 地址 2 J2_47 GPMC_A3 GPMC 地址 3 J2_49 GPMC_A4 GPMC 地址 4 J2_51 GPMC_A5 GPMC 地址 5 J2_53 GPMC_A6 GPMC 地址 6 J2_38 GPMC_A7 GPMC 地址 7 J2_48 GPMC_A8 GPMC 地址 8 J2_46 GPMC_A9 GPMC 地址 9 J2_44 GPMC_A10 GPMC 地址 10 J2_42 GPMC_A11 GPMC 地址 11 J2_75 GPMC_CLK GPMC 时钟 J2_79 GPMC_CS2n GPMC 片选 J2_81 GPMC_CSn1 GPMC 片选 J2_82 GPMC_CSn1 GPMC 片选 J2_83 GPMC_BEn0_CLE GPMC 位使能 / 命令锁存使能 J2_85 GPMC_ADVn_ALE GPMC 地址有效 / 地址锁存有效 J2_87 GPMC_OEn_REn GPMC 输出 / 读使能 J2_89 GPMC_WEn GPMC 写使能 总机 :(027) /7066 传真 :(027) 企业微信号 :wonhere 第 42 页

44 2.6.2 LCD 控制器 LCD 控制器有两个独立的控制器 ( 光栅控制器 LCD 界面显示驱动控制器 (LIDD)), 最大分辨率为 2048 x2048 最大帧频由图像大小与像素时钟速率决定 LCD 24 位显示模式 引脚 信号 描述 J2_52 LCD_D16 LCD 数据 16 J2_54 LCD_D17 LCD 数据 17 J2_56 LCD_D18 LCD 数据 18 J2_58 LCD_D19 LCD 数据 19 J2_60 LCD_D20 LCD 数据 20 J2_62 LCD_D21 LCD 数据 21 J2_64 LCD_D22 LCD 数据 22 J2_66 LCD_D23 LCD 数据 23 J2_68 LCD_D15 LCD 数据 15 J2_70 LCD_D14 LCD 数据 14 J2_72 LCD_D13 LCD 数据 13 J2_74 LCD_D12 LCD 数据 12 J2_76 LCD_D11 LCD 数据 11 J2_78 LCD_D10 LCD 数据 10 J2_80 LCD_D9 LCD 数据 9 J2_82 LCD_D8 LCD 数据 8 J2_84 LCD_D7 LCD 数据 7 J2_86 LCD_D6 LCD 数据 6 J2_88 LCD_D5 LCD 数据 5 J2_90 LCD_D4 LCD 数据 4 J2_92 LCD_D3 LCD 数据 3 J2_94 LCD_D2 LCD 数据 2 J2_96 LCD_D1 LCD 数据 1 J2_98 LCD_D0 LCD 数据 0 J2_97 LCD_AC_BIAS_EN LCD AC 偏置使能 J2_91 LCD_PCLK LCD 像素时钟 J2_93 LCD_HSYNC LCD 水平同步 J2_95 LCD_VSYNC LCD 垂直同步 LCD 16 位显示模式 引脚 信号 描述 J2_68 LCD_D15 LCD 数据 15 J2_70 LCD_D14 LCD 数据 14 J2_72 LCD_D13 LCD 数据 13 总机 :(027) /7066 传真 :(027) 企业微信号 :wonhere 第 43 页

45 J2_74 LCD_D12 LCD 数据 12 J2_76 LCD_D11 LCD 数据 11 J2_78 LCD_D10 LCD 数据 10 J2_80 LCD_D9 LCD 数据 9 J2_82 LCD_D8 LCD 数据 8 J2_84 LCD_D7 LCD 数据 7 J2_86 LCD_D6 LCD 数据 6 J2_88 LCD_D5 LCD 数据 5 J2_90 LCD_D4 LCD 数据 4 J2_92 LCD_D3 LCD 数据 3 J2_94 LCD_D2 LCD 数据 2 J2_96 LCD_D1 LCD 数据 1 J2_98 LCD_D0 LCD 数据 0 J2_97 LCD_AC_BIAS_EN LCD AC 偏置使能 J2_91 LCD_PCLK LCD 像素时钟 J2_93 LCD_HSYNC LCD 水平同步 J2_95 LCD_VSYNC LCD 垂直同步 MAC 控制器 MAC 控制器支持 MII/RMII/RGMII 协议, 支持 IEEE 1588v2 精准时间协议 (PTP) 引脚 信号 描述 J2_16 RGMII1_TXEN RGMII1 发送使能 J2_17 RGMII1_REFCLK RGMII1 参考时钟 J2_18 RGMII1_TXCLK GMII1 发送时钟 J2_19 RGMII1_CRS RGMII1 载波侦听 J2_20 RGMII1_TXD0 RGMII1 发送数据 0 J2_21 RGMII1_COL RGMII1 冲突检测 J2_22 RGMII1_TXD1 RGMII1 发送数据 1 J2_23 RGMII1_RXERR RGMII1 接收数据错误指示 J2_24 RGMII1_TXD2 RGMII1 发送数据 2 J2_26 RGMII1_TXD3 RGMII1 发送数据 3 J2_27 RGMII1_RXCLK RGMII1 接收时钟 J2_29 RGMII1_RXDV RGMII1 接收数据有效 J2_31 RGMII1_RXD3 RGMII1 接收数据 3 J2_33 RGMII1_RXD2 RGMII1 接收数据 2 J2_35 RGMII1_RXD1 RGMII1 接收数据 1 J2_37 RGMII1_RXD0 RGMII1 接收数据 0 J2_39 GMII1_MDIO_CLK MDIO 时钟 J2_41 GMII1_MDIO_DATA MDIO 数据 通用串行接口 (USB) USB 子系统有两个独立的 USB2.0 模块 总机 :(027) /7066 传真 :(027) 企业微信号 :wonhere 第 44 页

46 注 : 通过一个 USB 2.0 集线器,USB 1.1 设备可以连接到 USB2.0 主机端口 USB1.1 设备可以直接连接到 USB OTG 端口 引脚 信号 描述 J2_1 USB0_VBUS USB0_VBUS J2_3 USB0_DRVVBUS USB0 VBUS 控制输出 J2_4 USB0_DM USB0 数据负 J2_5 USB0_ID USB0 OTG 标识 J2_6 USB0_DP USB0 数据正 J2_9 USB1_ID USB1 OTG 标识 J2_10 USB1_DM USB1 数据负 J2_11 USB1_DRVVBUS USB1 VBUS 控制输出 J2_12 USB1_DP USB1 数据正 J2_13 USB1_VBUS USB1_VBUS ADC 控制器 触摸屏控制器和模拟 - 数字转换器是一个 8 通道的通用模拟 - 数字转换器 (ADC), 支持四线 五线 或 8 线电阻触摸屏 (TS) 引脚 信号 描述 J1_70 Rscreen_Yup(AIN2) 模拟输入 2 J1_71 AIN4 模拟输入 4 J1_74 Rscreen_YDown(AIN3) 模拟输入 3 J1_75 AIN5 模拟输入 5 J1_78 Rscreen_XLeft(AIN0) 模拟输入 0 J1_79 AIN6 模拟输入 6 J1_82 Rscreen_XRight(AIN1) 模拟输入 1 J1_83 AIN7 模拟输入 测试接口 (JTAG) 引脚 信号 描述 J1_86 JTAG_TRSTn JTAG 测试复位 J1_88 JTAG_TDO JTAG 测试数据输出 J1_90 JTAG_TDI JTAG 测试数据输入 J1_92 JTAG_TCK JTAG 测试时钟 J1_94 JTAG_TMS JTAG 测试模式选择 J1_96 JTAG_EMU0 MISC 仿真 0 J1_98 JTAG_EMU1 MISC 仿真 MMC / SDIO 接口 引脚信号描述 总机 :(027) /7066 传真 :(027) 企业微信号 :wonhere 第 45 页

47 J1_27 MMC0_D3 MMC/SD/SDIO 数据 3 J1_29 MMC0_D2 MMC/SD/SDIO 数据 2 J1_31 MMC0_D1 MMC/SD/SDIO 数据 1 J1_33 MMC0_D0 MMC/SD/SDIO 数据 0 J1_35 MMC0_CMD MMC/SD/SDIO 命令 J1_37 MMC0_CLK MMC/SD/SDIO 时钟 I2C 接口 引脚信号描述 J1_50 I2C0_SDA I2C0 数据 J1_52 I2C0_SCL I2C0 时钟 SPI 接口 引脚信号描述 J1_56 SPI0_CS0 SPI0 片选 J1_58 SPI0_D1 SPI0 数据 J1_62 SPI0_D0 SPI0 数据 J1_64 SPI0_SCLK SPI0 时钟 UART 接口 引脚信号描述 J1_32 UART0_TXD UART0 发送 J1_34 UART0_RXD UART0 接收 J1_44 UART1_TXD UART1 发送 J1_46 UART1_RXD UART1 接收 MCASP 接口 含 2 个多通道音频串口 (McASP) 支持 I2S 接口 支持数字音频接口传输 (SPDIF,IEC , 和 AES-3 格式 ) 引脚信号描述 J1_53 MCASP0_ACLKX McASP0 发送时钟 J1_55 MCASP0_FSX McASP0 发送帧同步 J1_57 MCASP0_AXR0 McASP0 串行数据 J1_59 MCASP0_AHCLKR McASP0 接收主时钟 J1_61 MCASP0_ACLKR McASP0 接收位时钟 mcasp1_aclkx McASP1 发送位时钟 J1_63 MCASP0_AHCLKX McASP0 接收主时钟 mcasp1_axr1 McASP1 串行数据 J1_65 MCASP0_FSR McASP 接收帧同步 mcasp1_fsx McASP1 发送帧同步 J1_67 MCASP0_AXR1 mcasp0 串行数据 mcasp1_axr0 mcasp1 串行数据 总机 :(027) /7066 传真 :(027) 企业微信号 :wonhere 第 46 页

48 FPGA 的 GPIO 接口 引脚 信号 描述 J1_93 F_GPIO_D0 FPGA 的 GPIO0 J1_18 F_GPIO_D1 FPGA 的 GPIO1 J1_20 F_GPIO_D2 FPGA 的 GPIO2 J1_22 F_GPIO_D3 FPGA 的 GPIO3 J1_19 F_GPIO_D4 FPGA 的 GPIO4 J1_66 F_GPIO_D5 FPGA 的 GPIO5 J1_60 F_GPIO_D6 FPGA 的 GPIO6 J1_48 F_GPIO_D7 FPGA 的 GPIO7 J1_41 F_GPIO_D8 FPGA 的 GPIO8 J1_36 F_GPIO_D9 FPGA 的 GPIO9 J1_95 F_GPIO_D10 FPGA 的 GPIO10 J1_97 F_GPIO_D11 FPGA 的 GPIO11 J1_87 F_GPIO_D12 FPGA 的 GPIO12 J1_89 F_GPIO_D13 FPGA 的 GPIO13 J1_49 F_GPIO_D14 FPGA 的 GPIO14 J1_51 F_GPIO_D15 FPGA 的 GPIO15 总机 :(027) /7066 传真 :(027) 企业微信号 :wonhere 第 47 页

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 ARM 嵌入式工业控制核心板 产品数据手册 概述 是深圳市米尔科技有限公司推出的一款以 TI AM335X 作为主处理器的嵌入式核心板, 该系列器件是基于 ARM Cortex-A8 内核的最高性能 低功耗 MPU 特性, 可以提供相同成本下最高的 DMIPS, 同时提供 3D 图形加速和关键外设的集成, 可满足各种应用需要, 可选 3D 图形加速器性能高达 20M/tri/s, 支持 LPDDR1/DDR2/DDR3

More information

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May-13 1 Revision History 0.2, 增加 J2 的 PIN57 PIN59 说明 0.21,2014-Feb-17 去掉表格中的 HDMI 接口 修改 SPI 个数, 只有 1 个 SPI 接口 修改 硬件参数 表格 增加备注 关于 MMC1_DAT4-7 被替换 0.22, 增加

More information

A944XX-CB

A944XX-CB ZCRE-AM335X 硬件说明书 广州准捷电子科技有限公司 版本 V1.1 电子邮件 consultant@zjtech.net 第 1 页 版权声明 本手册版权属广州准捷电子科技有限公司 以下简称 准捷电 子 所有 并保留一切权利 非准捷电子同意 书面形式 任何 单位及个人不得擅自摘录本手册部分或全部内容 违者我们将追究 其法律责任 版本记录 版本号 作者 版本说明 日期 V1.0 Shirley

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

M335x 核心板 修订历史 版本日期原因 V /04/27 正式发布 i

M335x 核心板 修订历史 版本日期原因 V /04/27 正式发布 i Data Sheet M335x-T( 可插拔 ) 核心板数据手册 ARM 嵌入式工业控制模块 DS01010101 V1.00 Date: 2016/04/27 概述 M335x-T 是一款基于 TI AM335x 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9, 可提供快速的数据处理和流畅的界面切换 M335x-T 拥有丰富的外设资源,6 路 UART

More information

A3352 核心板 修订历史版本 日期 原因 V /12/04 创建文档 V /09/20 修改文中错误 增加 A3352 上电时序 V /06/19 修改文中错误 增加启动配置 删除 WinCE 相关信息 V /08/03 修改公司名

A3352 核心板 修订历史版本 日期 原因 V /12/04 创建文档 V /09/20 修改文中错误 增加 A3352 上电时序 V /06/19 修改文中错误 增加启动配置 删除 WinCE 相关信息 V /08/03 修改公司名 Data Sheet A3352 无线核心板数据手册 DS01010101 V1.03 Date: 2017/08/03 概述 A3352 是一款基于 TI AM3352 处理器为核心, 集无线模块为一体的嵌入式无线核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9, 可提供快速的数据处理和流畅的界面切换 A3352 拥有丰富的外设资源, 如 6 路 UART 2 路 CAN-Bus

More information

MY-I.MX6 V2.5 评估板

MY-I.MX6 V2.5 评估板 MY-IMX6-EK140 快速启动手册 v1.0 版本 开发板型号 MY-IMX6-EK140 适用范围 PCB 版本 MY-IMX6UL-CB140_Rev.B MY-IMX6UL-MB140_Rev.C 高性能低功耗快速启动通用连接更多支持长生命周期 Linux Android 多核处理器支持触摸屏多媒体支持全球到达 一站式 嵌入式 -1- 方案提供商 -2- 注意事项与维护 产品使用环境 供电电压

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Data Sheet M3352 核心板产品数据手册 ARM 嵌入式工业控制模块 DS V1.05 Date: 2015/08/10 产品数据手册 概述 M3352 是一款基于 AM3352 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9

Data Sheet M3352 核心板产品数据手册 ARM 嵌入式工业控制模块 DS V1.05 Date: 2015/08/10 产品数据手册 概述 M3352 是一款基于 AM3352 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9 Data Sheet DS01010101 V1.05 Date: 2015/08/10 概述 M3352 是一款基于 AM3352 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9, 可提供快速的数据处理和流畅的界面切换 该产品自带 6 路 UART 2 路 CAN-Bus 2 路 USB OTG 2 路以太网等接口, 具有强大的通讯功能 工业级性能保证,

More information

面向AWorks框架和接口的C编程

面向AWorks框架和接口的C编程 AWorks Yourdon Constantine BOM AWorks i ARM IP MCU ARM ARM MCU OS PCF85063RX8025T DS1302 RTC FreeRTOS C/OS-II sysbioslinux Windows OS QT emwin GUI MCU MCU OS AWorks OS AWorks OSAWorks AWorks MCU ARM DSP

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

BeagleBone-HDMI

BeagleBone-HDMI 中国版 BB-Black 用户手册 版本 1.0 2013 年 4 月 22 日 i 版权声明 : 中国版 BB-Black 开发套件及其相关知识产权由深圳市所有 本文档由深圳市版权所有, 并保留一切权利 在未经英蓓特公司书面许可的情况下, 不得以任何方式或形式来修改 分发或复制本文档的任何部分 Microsoft, MS-DOS, Windows, Windows95, Windows98, Windows2000,

More information

Data Sheet M3352-YP( 邮票孔 ) 核心板数据手册 ARM 嵌入式工业控制模块 DS V1.06 Date: 2015/10/26 产品数据手册 概述 M3352-YP 是一款基于 AM3352 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内

Data Sheet M3352-YP( 邮票孔 ) 核心板数据手册 ARM 嵌入式工业控制模块 DS V1.06 Date: 2015/10/26 产品数据手册 概述 M3352-YP 是一款基于 AM3352 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内 Data Sheet M3352-YP( 邮票孔 ) 核心板数据手册 ARM 嵌入式工业控制模块 DS01010101 V1.06 Date: 2015/10/26 概述 M3352-YP 是一款基于 AM3352 处理器的嵌入式工控核心板 800MHz 主频的 Cortex-A8 内核性能远强于 ARM9, 可提供快速的数据处理和流畅的界面切换 该产品自带 6 路 UART 2 路 CAN-Bus

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-V210 核心板基于 Samsung 公司 ARM Cortex- A8 S5PV210 应用处理器, 支持高达 1GHz 的处理速度, 核心板上配置一枚智能电源管理芯片,

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源 Firefly-RK3128 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-05-15 版本更新日期更新内容 V1.0 2018-05-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 1.2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...9 1 CON1 SIP-42PIN-2D54...9

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

DVK530/531扩展板

DVK530/531扩展板 DVK710 扩展板 驱动移植手册 2014.06.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.06.03 初始发布 深圳市微雪电子有限公司 www.waveshare.netii I 目录版权声明... I 版本更新记录...

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-4418 核心板是一款低功耗 高性能的嵌入式 ARM 主板, 使用 Samsung 高端主流 ARM 处理器 :S5P4418 四核 Cortex-A9,

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

Data Sheet MiniARM M3517 ARM 嵌入式工业控制模块 V1.00 Date: 2012/12/28 产品数据手册 概述 M3517 是一款以 Cortex-A8 芯片 (TI AM3517) 作为主处理器的嵌入式核心板, 该 CPU 基于 Cortex-A8 核设计, 内部集

Data Sheet MiniARM M3517 ARM 嵌入式工业控制模块 V1.00 Date: 2012/12/28 产品数据手册 概述 M3517 是一款以 Cortex-A8 芯片 (TI AM3517) 作为主处理器的嵌入式核心板, 该 CPU 基于 Cortex-A8 核设计, 内部集 Data Sheet MiniARM M3517 V1.00 Date: 2012/12/28 概述 M3517 是一款以 Cortex-A8 芯片 (TI AM3517) 作为主处理器的嵌入式核心板, 该 CPU 基于 Cortex-A8 核设计, 内部集成了强大的多媒体处理单元, 支持多种显示分辨率选择, 可同时输出至 LCD 和 TV 显示 ; 支持一路摄像头输入 ; 以及 USB SD Card

More information

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC 1.1 General Description The SYD8821 is a low power and high performance 2.4GHz Bluetooth Low Energy SoC. SYD8821 integrates all Bluetooth smart devices

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

BeagleBone-HDMI

BeagleBone-HDMI 中国版 BB-Black 用户手册 版本 1.1 2013 年 5 月 20 日 i 版权声明 : 中国版 BB-Black 开发套件及其相关知识产权由深圳市英蓓特科技有限公司所有 本文档由深圳市英蓓特科技有限公司版权所有, 并保留一切权利 在未经英蓓特公司书面许可的情况下, 不得以任何方式或形式来修改 分发或复制本文档的任何部分 Microsoft, MS-DOS, Windows, Windows95,

More information

深圳市天和电子有限公司

深圳市天和电子有限公司 承认书 类 型 型 : 多媒体核心板 号 : SMK35 客户名称 : 日 期 : 此栏为客户签字栏 : 硬件确认软件确认承认批准 硬件变更记录 软件版本号 文档号 : TH-AVN -N001 1 公司名称赛梅斯凯部门 R&D 版本 V1.1 设计张忠祥审核张辉日期 2015.05.10 标题产品规格书 版本管控 日期 版本 修改说明 2015.04.08 V1.0 第一版 2015.05.10

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开 Revision History Draft Date Revision No. Description 2018/09/07 V1.5 1. 修改电气特性参数 2018/02/26 V1.4 2018/01/12 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开发板机械尺寸图 3. 增加产品订购型号 1. 开发板更新为 A2 版本 2. 更新 LOGO 开发板封面图

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

G3399 邮票孔核心板简介 深圳葡萄雨技术有限公司

G3399 邮票孔核心板简介 深圳葡萄雨技术有限公司 G3399 邮票孔核心板简介 深圳葡萄雨技术有限公司 www.graperain.cn 版权声明 本手册版权归属深圳葡萄雨技术有限公司所有, 并保留一切权力 非经葡萄雨技术有限公司同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发平台的手册会经常更新, 请在 http://www.graperain.cn/ 网站下载最新手册或与我司销售联系取得,

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释 Revision History Draft Date Revision No. Description 2018/08/31 V1.4 1. 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释图 3. 增加产品订购型号 2017/07/26 V1.2 1. 排版修改 2016/2/18 V1.1

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1 Chapter 01 Photoshop CS6 的基本操作 本章内容 1.1 Photoshop 的应用领域 1.6 控制面板的显示与隐藏 1.2 位图和矢量图的特性 1.7 新建 打开与保存文件 1.3 像素和分辨率的关系 1.8 图像的缩放 1.4 色彩模式 1.9 屏幕显示模式 1.5 Photoshop CS6 界面 1.10 计算机图形图像常用的色彩模式 Photoshop CS6 艺术设计案例教程

More information

BeagleBone-HDMI

BeagleBone-HDMI 中国版 BB-Black 用户手册 版本 1.3 2014 年 3 月 20 日 i 版权声明 : 中国版 BB-Black 开发套件及其相关知识产权由深圳市所有 本文档由深圳市版权所有, 并保留一切权利 在未经英蓓特公司书面许可的情况下, 不得以任何方式或形式来修改 分发或复制本文档的任何部分 Microsoft, MS-DOS, Windows, Windows95, Windows98, Windows2000,

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/10/13 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/18 目 录 1 开发板简介... 4 2 典型运用领域... 7 3 软硬件参数... 7 4 开发资料...

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改 TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V1.2 1. 开发板版本更新为 A3, 文档格式校订 2017/01/16 V1.1 1. 添加视频输入拓展口 2. 修改 USB 介绍错漏 2016/10/26 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

X3399 核心板 简介 深圳市九鼎创展科技有限公司 1

X3399 核心板 简介 深圳市九鼎创展科技有限公司   1 X3399 核心板 简介 深圳市九鼎创展科技有限公司 www.9tripod.com 1 版权声明本手册版权归属深圳市九鼎创展科技有限公司所有, 并保留一切权力 非经九鼎创展同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 敬告 : 在售开发板的手册会经常更新, 请在 http://www.9tripod.com 网站下载最新手册, 不再另行通知 2

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

VME

VME VMEbus VME 35 VME VME VME EOL Tsi148 VME PCI-X Marvell 2025 VME Power Architecture VME VME VME VME VME VME VME PCI VME VME VME 32 32 CPU I/O VME VMEbus 20 70 VERSAbus European Microsystems group VERSAbus

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

Hi3518EV200 HD IP Camera SoC Hi3516A

Hi3518EV200 HD IP Camera SoC Hi3516A Hi3518EV200 HD IP Camera SoC Hi3516A - - - - www.lestina.com www.lestina.com.cn 59 60 www.lestina.com www.lestina.com.cn Hi3516CV200 HD IP Camera SoC www.lestina.com www.lestina.com.cn 61 62 www.lestina.com

More information

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 ARM 嵌入式工业控制核心板 概述 产品数据手册 是深圳市米尔科技有限公司推出的一款以 ATMEL SAM9X5 作为主处理器的嵌入式核心板, 该系列器件是基于 ARM926EJ-S 内核的最高性能 低功耗 MPU 特性, 处理器频率高达 400MHz, 并且基于 12 层总线矩阵的高数据带宽高速通道, 微处理器的 MLC/SLC NAND Flash 控制器支持 24 位的 PMECC( 可编程的多位

More information

Altera SOC Devices

Altera SOC Devices Altera drive for Silicon Convergence 您的用户可定制芯片系统 嵌入式开发人员的需求 Low High 提高系统性能 降低系统功耗 减小电路板面积 降低系统成本 2 实现两全其美 ARM 处理器系统 双核 ARM Cortex-A9 MPCore 处理器 28-nm FPGA 硬核存储器控制器 外设 SoC FPGA ARM + Altera = SoC FPGA

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

ESP-WROOM-32 Espressif Systems

ESP-WROOM-32 Espressif Systems ESP-WROOM-32 Espressif Systems 206 2 9 ESP-WROOM-32 ESP-WROOM-32 2 3 4 ESP-WROOM-32 5 ESP-WROOM-32 206.08 V.0 206. V. 5 206. V.2 206.2 V.3 2. URL Wi-Fi Wi-Fi Bluetooth SIG 206 2 3 2. 3 2.2 4 2.3 Strapping

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

ESP-WROOM-32 ESP-WROOM ESP-WROOM-32 5 ESP-WROOM-32 6 ESP V V V V V V.5

ESP-WROOM-32 ESP-WROOM ESP-WROOM-32 5 ESP-WROOM-32 6 ESP V V V V V V.5 ESP-WROOM-32 Espressif Systems 207 3 7 ESP-WROOM-32 ESP-WROOM-32 2 3 4 ESP-WROOM-32 5 ESP-WROOM-32 6 ESP32 206.08 V.0 206. V. 5 206. V.2 206.2 V.3 2. 207.03 V.4 2 3 4.2 4.4 4.6 3 207.03 V.5 2.2 3.2 3.5.

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AC7015 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 23 目录 文档版本控制... 2 ( 一 ) 简介... 4 ( 二 ) ZYNQ 芯片... 5 ( 三 ) DDR3 DRAM... 8 ( 四 ) QSPI Flash... 11 ( 五 ) emmc Flash... 13

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

S6410T使用手册

S6410T使用手册 EASE4418 核心板硬件手册 版本 1.0 copyright@2016-2019 1 / 17 版权声明 本手册版权归属广州亿三电子科技有限公司所有, 并保留一切权力 未经广 州亿三电子科技有限公司许可 ( 书面形式 ), 任何单位和个人不得摘录本手册部 分或全部内容 违者将追究其法律责任! 广州亿三电子科技有限公司 日期 :2019-02-15 2 / 17 修订历史 : 版本号 说明 作者

More information

上海海尔集成电路有限公司

上海海尔集成电路有限公司 文档编号 :AN2007 上海东软载波微电子有限公司 用户手册 ES-PDS 原型开发系统 V1.0 1/19 修订历史 版本修订日期修改概要 V1.0 2019-4-2 初版 地址 : 中国上海市龙漕路 299 号天华信息科技园 2A 楼 5 层邮编 :200235 E-mail:support@essemi.com 电话 :+86-21-60910333 传真 :+86-21-60914991

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D J10 Front-Came

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D J10 Front-Came Firefly-PX3-SE 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-01-15 版本更新日期更新内容 V1.0 2018-01-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...8 1 CON1 SIP-42PIN-2D54...8

More information

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图 产品手册 IoT WiFi SOC 版本 :1.8 日期 :2018-7-27 编号 :DS0099CN 摘 MOC108 /MOC108A 是高度集成的 IoT WiFi SoC 芯片, 包含 MCU RAM Flash WiFi 和多种 IO 接口 微处理器 (MCU) 存储设备 接口 WiFi 内核 :ARM 9 主频 :120MHz 256KB SRAM 2MB Flash 2x UART

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

Kinetis SDK K64 Users Guide

Kinetis SDK K64 Users Guide Freescale Semiconductor, Inc. K64 MAPS 套 件 用 户 指 南 版 本 1.0.0, 12/2014 K64 MAPS 套 件 硬 件 用 户 指 南 目 录 1 引 言... 2 2 概 述... 2 2.1 硬 件 平 台... 2 2.2 MAPS-K64 简 介... 2 2.2.1 MAPS-K64 主 要 功 能 模 块... 3 2.2.2 MAPS-K64

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

Microsoft Word - 134招标文件.doc

Microsoft Word - 134招标文件.doc 威 海 市 政 府 采 购 招 标 文 件 采 购 编 号 :WHGP2016-134 采 购 项 目 : 网 站 建 设 采 购 方 式 : 公 开 招 标 山 东 省 鲁 成 招 标 有 限 公 司 2016 年 5 月 20 日 目 录 第 一 部 分 招 标 公 告 2 第 二 部 分 投 标 人 须 知 4 第 三 部 分 开 标 评 标 定 标 13 第 四 部 分 采 购 项 目 说

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378>

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378> 麒麟座迷你开发板硬件使用手册 V1.0 2016 年 7 月 18 日 1 版本记录 版本号 日期 作者 修改内容 备注 V1.0 2016 7 18 谢斌强 初始版本 2 目录 第一章 OneNET 麒麟座迷你开发板简介... 4 1.1 MCU 介绍... 4 1.2 开发板功能简介... 4 1.3 开发板配置... 6 第二章硬件资源... 7 2.1 Bom 表... 7 相关资料...

More information

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8 < 用于 IA (Industrial Appliances)> 8.8 [.5] 8.9 [.5] 9.4 [.7] 11 [4.2] 11 [4.] 14 [5.7] 16 [6.4] 18 [7.0] 21 [8.4] 2 [9.1] 26 [10.1] 26 [10.4] LQ05QDG0 LQ05QDY01 LS07V7DW05 20 RGB 240 240 RGB 20 480 RGB

More information