Microsoft Word - VLSI Design Lab2_v1.doc

Size: px
Start display at page:

Download "Microsoft Word - VLSI Design Lab2_v1.doc"

Transcription

1 VLSI Design Lab 2 Due to 4/6 pm 12:00 Setup 1. %cd T181p6m_ads %cp.cdsinit../ (run calibre 需使用之檔案 ) %icfb& ciw 視窗 : 顯示相關訊息 1.1 Tool=> Library manager 1.2 File=>New=>Library 1.3 輸入 library name 然後按 OK 3. File=>New=>Cell View

2 1.4 直接選 OK 1.5 此檔案在 T181p6m_ads 資料夾裡面, 可依下圖輸入即可

3 Create Schematic 2.4 選擇剛剛建好的 library, 然後選 File=>New=>Cell View 2.5 如下圖 Tool=>Composer Schematic, 然後輸入 Cell Name 3 Add component 利用軟體中預設的 library (analoglib 與 basic) 已定義好的元件完成 schematic viewchoose: Add->Instance 就會看到對話 window, 再選取 Browse 中之 analoglib 之元件, 即可. Note :Tsmc or Umc 通常會提供 pcell. 同理,Add->Pin,but must define input terminal and output terminal pin.(vdd and gnd 屬於 inoutput) 最後用 Add->Wire 做接線的動作即可 4 Using Parameterized Cell (Pcell) 選 tsmc18rf 的 Library 使用 pmos2v nmos2v 之 MOS 元件 ( 為了之後 LAYOUT 會用到 ) L 為 channel length W 為 channel width

4 5 Syntax check 完成電路圖後要做 CHECK, 與之後做 LVS(Layout V.S Schematic ) 會有關係, 當接線及電路元件都兜完後,Choose: Design->Check and Save 觀察 CIW 上的 message 直到 schematic 電路皆無錯誤後電路才算完成 Example 1: 不可以 3 條線連在同一點 Example 2:no error ---> 完成

5 Layout Editor 1. 選擇之前建好的 library, 然後選 File=>New=>Cell View 接下來 Cell View => Virtuoso

6 2. Setup Option => Display Options => Layout Editor Set gravity on 之 control type 設定游標靠近 object 時即被吸引到 object 的邊緣 : 建議不要勾選 Set gravity on 時所能影響之範圍在為幾個 unit 之內

7 3. Create 熱鍵 ( 有分大小寫 ) k -Ruler Shift+k - 取消尺規 r -Rectangle( 用來畫連線 ) o - 用來畫兩層間 contact 及 via( 常用 ) m -Move c -Copy s -Stretch Shift+z -Zoom out Ctrl+z -Zoom in f -Fit Edit shift+f & ctrl+f -switching instance view Key+F3 每個功能之詳細設定 3.1 Create =>Instance 提供設計者可以把設計好的 layout Cell 直接叫進來使用, 選 : Creative->Instance, 再選取 Browse 中設計好的 Cell 或 pcell 之元件即可 3.2 Creative->Label ( 對應到 schematic 的 pin; 在 Calibre 之 LVS 驗證裡 layout 是認 label) Note: 不可以打在 poly 上 先選材質 : 打在 METAL1 就要選 METAL1 4. Layout XL Auto-drawing pcell layout but not include wire connection Tool=>Layout XL

8 Invoke a schematic window: First choose a MOS form the Schematic window then Create=>Pick from Schematic then drag to layout window.

9

10 Design Rule Checking(DRC) Verification Using Calibre 1. Using Calibre DRC Tool Calibre=>Run DRC 1. 指定 DRC rule 的路徑 2. 指定跑 DRC 時資料要存放的路徑 3. 載入之前 run DRC 時的設定 (optional) 1.1 先按 Cancel 關掉 Load Runset File 視窗, 因為第一次使用並無此檔案 1.2 DRC rule 的路徑請選擇 /home/raid1_2/userd/d93020/t181p6m_ads /DRC/Calibre_DRC-1_3A2_5C_modify /T18_Calibre_DRC_13A25C_modify 不要按 Load ( 藍色部分每個人不同 ) 2. Inputs 如果已經有轉好的 gds 檔則可以不選 Export form layout view (gds 檔為 layout 所轉出之檔案 )

11 3. Outputs 使用預設就好 3. Run DRC Run 完後會跑出下面視窗 Debug:DRC REV Erase error highlight error drc summary file:*.drc.summary error number error position error description

12 4. 每次 run DRC check 前要關掉 DRC REV 視窗 5. 要關掉 Calibre Interactiv 時, 可以把設定存起來 NOTE: 以下為可忽略之 DRC ERROR 皆為 DENSITY 之 ERROR 但是當要下線時則需要考慮

13 Layout v.s. Schematic 1. Calibre Interactive Using Calibre LVS Tool Calibre=>Run LVS 1. 指定 LVSrule 的路徑 2. 指定跑 LVS 時資料要存放的路徑 3. 載入之前 run DRC 時的設定 (optional) LVS rule 的路徑請選擇 home/raid1_2/userd/d93020/t181p6m_ads/lvs/calibre_lvs-1_3a /T18_Calibre_LVS_13A.13a 不要按 Load ( 藍色部分每個人不同 ) 2. 如果已經有轉好的 gds 檔則可以不選 export from layout viewer; 如只修改 schematic 則可以不選 3. 如果已經有轉好的 netlist 檔則可以不選 export from schematic viewer; 如只修改 layout 則可以不選

14 4. RUN LVS 跑完 LVS 會出現下面視窗 :LVS REV Netlist from schematic error type Netlist from layout error description 下圖為 LVS report ( 文字模式顯示比對結果及錯誤訊息 ) Incorrectness Correctness

15 5. Debug 使用 LVS REV 視窗來修正 layout 與 schematic 不 match 的地方 Example : 用滑鼠點錯的地方則 layout 會 highlight error description: schematic 裡的 OUT & net8 分別連到不同的線, 而在 layout 裡卻有 1 條線連到 OUT

16 Layout Parameter Extraction 1. 先修改下述檔案第 2136 行 /home/raid1_2/userd/d93020/t181p6m_ads/lpe/calibre_lpe-1_3a/t18_calibre_l PE_13A.13a include /home/raid1_2/userd/d93020/t181p6m_ads/lpe/calibre_lpe-1_3a/rules 藍色粗體部份改成自己的路徑 ( 可以先使用 UltraEdit 軟體改好再上傳 ) 1.1 Calibre Interactive Using Calibre LPE Tool Calibre=>Run PEX 1. 指定 LPE rule 的路徑 2. 指定跑 LPE 時資料要存放的路徑 3. 載入之前 run DRC 時的設定 (optional) LPE rule 的路徑請選擇 /home/raid1_2/userd/d93020/t181p6m_ads/lpe/calibre_lpe-1_3a/t18_calibre_l PE_13A.13a 不要按 Load ( 藍色部分每個人不同 ) 2. Inputs 已經有轉好的 gds 檔則可以不選 export from layout viewer; 如只修改 schematic 則可以不選 3 Outputs FORMAT: 選 HSPICE FILE:OUTPUT FILE 可以改成 *.sp Use Name From: 會根據你選的來源把 Pin&net 的名稱 mapping 到 out file 的 netlist; 可以選 LAYOUT or SCHEMATIC Extraction Type: 選 Transistor Level;RC or R Output netlist: 為 sub-circuit 格式且會把 extract 出來的 RC 檔案 include 進來

17 4.Run PEX 5. 把轉出來的 netlist 拿來做 post-simulation, 如果 performance 有差很多就要再修改 layout or 你的設計

18 Inverter schematic & layout view (TSMC 0.18um)

19 Layout 常見問題 1. 為什麼不行選 layer 檢查是否接換到大寫模式也就是鍵盤 Cap Lock 燈是亮的 2. 為什麼 undo 只能 3 次 Options=>User Preference Building Basic Logic Cell 1. It can make the layout fast, regular,and clear. ->Easy to debug 2. It like cell base design. ->Only need connection Example (MCLA) Schematic view

20 Layout view:the red blocks are instances. Create symbol from Schematic 1. 前面已說明如何建立一個電路的 scmematic, 因為要使用 cell 的觀念來建立較大的電路, 因此以使用 symbol 的方法來建立 schematic 2. 打開之前建立的 schematic;design=>create Cellview=>from Cellview 選 OK 3. Pin Specification: 分配 pin 的位置

21 4. 完成後點 save, 之後便可以使用 instance 的方式來建立 schematic 5. 如果 schematic 有做修改, 如增加或修改 pin 的名稱, 則需要從重做上述步驟, 此外還要額外做 Cross View Check

22 使用以下的 3-input nand gate 電路來完成 fullcustom design flow, 不用作 hspice simulation.subckt nand3 a b c out wp=2u wn=1u ld=0.18u mp1 out a vdd vdd pch w=wp l=ld mp2 out b vdd vdd pch w=wp l=ld mp3 out c vdd vdd pch w=wp l=ld mn1 out a 1 gnd nch w=wn l=ld mn2 1 b 2 gnd nch w=wn l=ld mn3 2 c gnd gnd nch w=wn l=ld.ends nand3 Submission Requirement 需要交 layout view & schematic view;*.drc.summary, *.lvs.report, *.sp, *.pex, *.pxi 及一個簡單個 word 說明文件壓縮成一個 ZIP 檔案 檔名請使用 VLSI_LAB2_BXXXXXXXX_ 姓名.zip 寄到 genius@access.ee.ntu.edu.tw 並使用郵件索取回條確認助教有收到信 信件 title 請使用 VLSI_LAB2_BXXXXXXXX_ 姓名

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C Calibre xrc 1 Calibre xrc intrinsic coupled substrate 1 1 intrinsic plate 4 5 intrinsic fringe 1 2 3 6 2 nearbody 3 crossover fringe 6 crossover plate 1 RC 2 Calibre xrc Calibre xrc transistor level gate

More information

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial VLSI Design Lab3 Dracula- Layout Verification Advisor Presenter: 2003/04/25 ACCESS IC LAB Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial Introduction ACCESS IC LAB Design

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

Microsoft PowerPoint - IC.ppt

Microsoft PowerPoint - IC.ppt Full Customer Design Flow using Laker and ICFB 元智資工超大型積體電路設計導論 Written by 蔣宇程 超大型積體電路導論 1 環境 作業系統 :Solaris 8 以上 工作站 :Sun workstation 教室 :1301 IC 設計學院 進入教室請勿攜帶食物及飲料 工作站有問題請找助教, 切勿自行關機或重開 不要長時間 lock 工作站,

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT 作 者 : 楊 哲 彰 (2005-02-23); 推 薦 : 徐 業 良 (2005-02-28) 附 註 : 本 文 為 元 智 大 學 機 械 系 自 動 化 機 械 設 計 課 程 教 材 Protel 電 腦 輔 助 電 路 設 計 軟 體 入 門 (2) PCB 製 作 與 輸 出 在 先 前 的 文 件 中, 介 紹 了 Protel 99 SE 的 基 本 操 作, 並 且 以 穩

More information

Text 文字输入功能 , 使用者可自行定义文字 高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。

Text 文字输入功能 , 使用者可自行定义文字  高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。 GerbTool Wise Software Solution, Inc. File New OPEN CLOSE Merge SAVE SAVE AS Page Setup Print Print PreView Print setup (,, IMPORT Gerber Wizard Gerber,Aperture Gerber Gerber, RS-274-D, RS-274-X, Fire9000

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

Microsoft Word - SOC_Encounter_Flow_new4.docx

Microsoft Word - SOC_Encounter_Flow_new4.docx SOC Encounter Flow First version: Chlin 2007.07.13 Second version: Paulman, lkince, Arong 2008.10.20 Data Prepare Description Working Directory Bonding Pad Capacitance Table File IO Filler Layout Mapping

More information

Layout Skill_and_Varification

Layout Skill_and_Varification IC 佈 局 設 計 能 力 鑑 定 題 庫 及 參 考 解 答 學 科 題 庫 選 擇 題 - 共 50 題 術 科 題 庫 佈 局 題 - 共 1 題 DRC 除 錯 題 - 共 1 題 LVS 除 錯 題 - 共 1 題 - 1 - 考 試 注 意 事 項 : 測 驗 內 容 :( 詳 細 內 容 依 簡 章 公 告 為 主 ) 科 目 鑑 定 主 題 鑑 定 內 容 學 科 筆 試 共 計

More information

Microsoft Word - 3D手册2.doc

Microsoft Word - 3D手册2.doc 第 一 章 BLOCK 前 处 理 本 章 纲 要 : 1. BLOCK 前 处 理 1.1. 创 建 新 作 业 1.2. 设 定 模 拟 控 制 参 数 1.3. 输 入 对 象 数 据 1.4. 视 图 操 作 1.5. 选 择 点 1.6. 其 他 显 示 窗 口 图 标 钮 1.7. 保 存 作 业 1.8. 退 出 DEFORMTM3D 1 1. BLOCK 前 处 理 1.1. 创 建

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

IBM Rational ClearQuest Client for Eclipse 1/ IBM Rational ClearQuest Client for Ecl

IBM Rational ClearQuest Client for Eclipse   1/ IBM Rational ClearQuest Client for Ecl 1/39 Balaji Krish,, IBM Nam LeIBM 2005 4 15 IBM Rational ClearQuest ClearQuest Eclipse Rational ClearQuest / Eclipse Clien Rational ClearQuest Rational ClearQuest Windows Web Rational ClearQuest Client

More information

自动化接口

自动化接口 基 于 文 件 的 数 据 交 换 的 注 意 事 项 1 SPI 2 COMOS Automation 操 作 手 册 通 用 Excel 导 入 3 通 过 OPC 客 户 端 的 过 程 可 视 化 4 SIMIT 5 GSD 6 05/2016 V 10.2 A5E37093378-AA 法 律 资 讯 警 告 提 示 系 统 为 了 您 的 人 身 安 全 以 及 避 免 财 产 损 失,

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

2_dvdr3380_97_CT_21221b.indd

2_dvdr3380_97_CT_21221b.indd 64 65 66 ALL 3 67 a STANDBY-ON 2 a b c d e f g h i j k l b TIMER c SYSTEM-MENU d e SELECT f REC g. > h TOP MENU i ANGLE j RETURN k SUBTITLE l REC MODE 68 m n REC SOURCE o DISC-MENU p OK q EDIT r PLAYÉ

More information

RunPC2_.doc

RunPC2_.doc PowerBuilder 8 (5) PowerBuilder Client/Server Jaguar Server Jaguar Server Connection Cache Thin Client Internet Connection Pooling EAServer Connection Cache Connection Cache Connection Cache Connection

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

PADS Router PADS Router (KGS Technology Ltd.) Mentor ( Innoveda-PADS) PADS PowerPCB APLAC DPS CAD KGS 1989 PADS CAE/CAD/CAM EDA PCB PCB PCB PCB PCB PA

PADS Router PADS Router (KGS Technology Ltd.) Mentor ( Innoveda-PADS) PADS PowerPCB APLAC DPS CAD KGS 1989 PADS CAE/CAD/CAM EDA PCB PCB PCB PCB PCB PA PADS2005 PADS Router ( BlazeRouter) www.kgs.com.hk PADS Router PADS Router (KGS Technology Ltd.) Mentor ( Innoveda-PADS) PADS PowerPCB APLAC DPS CAD KGS 1989 PADS CAE/CAD/CAM EDA PCB PCB PCB PCB PCB PADS

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

ebook111-4

ebook111-4 Flash 4 Flash 4 F l a s h 5 Flash 4 Flash Flash 4 Flash 4 Flash 4 4.1 Flash 4 Flash 4 Flash 4 Flash Flash 4 Flash 4 4.2 Flash 4 Flash 4 A Flash 4 S h i f t F i l e P r e f e r e n c e s > > Flash 4 Flash

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

一 页 眉 页 脚 的 制 作 首 先 介 绍 一 个 概 念 : 节 这 里 的 节 不 同 于 论 文 里 的 章 节, 但 概 念 上 是 相 似 的 节 是 一 段 连 续 的 文 档 块, 同 节 的 页 面 拥 有 同 样 的 边 距 纸 型 或 方 向 打 印 机 纸 张 来 源 页

一 页 眉 页 脚 的 制 作 首 先 介 绍 一 个 概 念 : 节 这 里 的 节 不 同 于 论 文 里 的 章 节, 但 概 念 上 是 相 似 的 节 是 一 段 连 续 的 文 档 块, 同 节 的 页 面 拥 有 同 样 的 边 距 纸 型 或 方 向 打 印 机 纸 张 来 源 页 一 页 眉 页 脚 的 制 作 二 图 表 自 动 编 号 三 公 式 自 动 编 号 四 目 录 的 制 作 五 参 考 文 献 的 编 号 和 引 用 六 其 他 技 巧 七 基 本 软 件 WORD 各 种 编 辑 排 版 的 高 级 技 巧 一 页 眉 页 脚 的 制 作 首 先 介 绍 一 个 概 念 : 节 这 里 的 节 不 同 于 论 文 里 的 章 节, 但 概 念 上 是 相 似

More information

Primer Express v3.0 中文操作手冊

Primer Express v3.0 中文操作手冊 Primer Express v3.0 Primers and Probe Design For Real-Time PCR Primers/Probes Design Guideline TaqMan Probe Primer Probe Primer 離, PCR 50-150 bp G/C % 30-80 % 列 4 G Tm : 68-70 (Quantification assay) 65-67

More information

管道建模基础.ppt

管道建模基础.ppt AVEVA 2004.11.4 Pdms (database hierarchy) (PipeworkModelling) PIPE WORLD BRANCH PDMS FLANGE,Elbow.. SITE Pipe routing is probably the activity that consumes most time on any large project and it is also

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Microsoft Word - Lab8_1213.docx

Microsoft Word - Lab8_1213.docx Lab 8: DRC and LVS 1 These Labs are in the CIC standard flow 2 在今天的 Lab 中, 我們會練習到 2.1 Stream In GDS with Virtuoso 2.2 Design Rule Check (DRC) 2.3 Layout Versus Schematic (LVS) 3 先複製並解壓縮 Calibre Lab 的檔案

More information

Microsoft PowerPoint - ch6 [相容模式]

Microsoft PowerPoint - ch6 [相容模式] UiBinder wzyang@asia.edu.tw UiBinder Java GWT UiBinder XML UI i18n (widget) 1 2 UiBinder HelloWidget.ui.xml: UI HelloWidgetBinder HelloWidget.java XML UI Owner class ( Composite ) UI XML UiBinder: Owner

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

enews172_1

enews172_1 / http://www.cic.org.tw/login/login.jsp CIC Package Design with Allegro APD 104 IC 104 T50UHV Introduction to Conversational French - Syllabus Summer 2004 1 14 2 12 CMOS MorSensorMorFPGA DUO MorSensor

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

Microsoft Word - SupplyIT manual 3_cn_david.doc

Microsoft Word - SupplyIT manual 3_cn_david.doc MR PRICE Supply IT Lynette Rajiah 1 3 2 4 3 5 4 7 4.1 8 4.2 8 4.3 8 5 9 6 10 6.1 16 6.2 17 6.3 18 7 21 7.1 24 7.2 25 7.3 26 7.4 27 7.5 28 7.6 29 7.7 30 7.8 31 7.9 32 7.10 32 7.11 33 7.12 34 1 7.13 35 7.14

More information

untitled

untitled Sansa Fuze TM MP3 1-866-SANDISK (726-3475) www.sandisk.com/techsupport www.sandisk.com/sansa Fuze-8UM-CHS ... 3... 4 Sansa Fuze TM... 6... 6... 7... 7 Sansa Fuze... 7... 8... 9... 9... 10... 11... 11...

More information

coverage2.ppt

coverage2.ppt Satellite Tool Kit STK/Coverage STK 82 0715 010-68745117 1 Coverage Definition Figure of Merit 2 STK Basic Grid Assets Interval Description 3 Grid Global Latitude Bounds Longitude Lines Custom Regions

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S3125A Ct-2

WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S3125A Ct-2 DR-UN7 Ct WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S3125A...... Ct-2 1 Ct-3 Ct-4 Ct-5 ...2...3...5...5...6...8...10...10...10...11...11...12...13...14...16...18...18...18...19...19

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

User’s Manual

User’s Manual V7 用 户 手 册 亿 图 为 您 专 业 图 表 设 计 提 供 最 佳 解 决 方 案 2004-2014 EdrawSoft. All right reserved. Edraw and Edraw logo are registered trademarks of EdrawSoft. 目 录 亿 图 怎 样 优 越 于 其 他 软 件... 5 亿 图 7 个 新 功 能... 6 为

More information

RUN_PC連載_10_.doc

RUN_PC連載_10_.doc PowerBuilder 8 (10) Jaguar CTS ASP Jaguar CTS PowerDynamo Jaguar CTS Microsoft ASP (Active Server Pages) ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar Server ASP

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

Microsoft Word - Delta Controller ASCII_RTU_TC

Microsoft Word - Delta Controller ASCII_RTU_TC Delta Controller ASCII/RTU ( 適用台達變頻器 伺服驅動器 PLC 溫度控制器 ) 人機預設值通訊速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站號 :1 控制區 / 狀態區 :None/None 控制器接線的說明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列適用 )

More information

(Phase Locked Loops, PLL PLL (Chipset PLL GHz 6M Hz 96M USB2.0 USB2.1 6M Hz [1][2]

(Phase Locked Loops, PLL PLL (Chipset PLL GHz 6M Hz 96M USB2.0 USB2.1 6M Hz [1][2] (91 _ (91 91 1 7 A08-91A E-mail : mail@mail.cycu.edu.tw / / (03456789-111 0912345678 (034567890 E-mail : d12345@cycu.edu.tw 1. 2. E-mail E-mail Research and design on phase locked loops for clock generator

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

2 黑 色 皇 后 兵 向 前 移 動 兩 格 3 白 色 主 教 兵 4 黑 色 皇 后 對 角 移 動 到 對 吃 掉 白 色 國 王 的 位 置 在 這 個 章 節 中 你 會 學 到 1 打 開 設 定 關 鍵 (Set Key) 模 式 2 使 用 在 檢 視 軌 跡 中 的 可 設 定

2 黑 色 皇 后 兵 向 前 移 動 兩 格 3 白 色 主 教 兵 4 黑 色 皇 后 對 角 移 動 到 對 吃 掉 白 色 國 王 的 位 置 在 這 個 章 節 中 你 會 學 到 1 打 開 設 定 關 鍵 (Set Key) 模 式 2 使 用 在 檢 視 軌 跡 中 的 可 設 定 第 六 章 動 畫 (Animation) 本 章 的 內 容 裡 將 教 你 在 3ds Max Design 創 建 基 礎 的 動 畫, 這 一 章 的 內 容 包 括 了 : - 設 定 關 鍵 (Set Key) 動 畫 - 自 動 關 鍵 (Auto Key) 動 畫 - 殘 影 (Ghosting) - 虛 擬 物 件 (Dummy Object) 及 動 畫 - 軌 跡 檢 視 (Track

More information

晶体结构立体模型建构软件-Diamond的使用

晶体结构立体模型建构软件-Diamond的使用 -Diamond E-mail: wupingwei@mail.ouc.edu.cn -Diamond Diamond NaCl NaCl NaCl Fm-3m(225) a=5.64å Na:4a, Cl:4b 1 2 3 4 5 6 File New OK Diamond1 New Structure Crystal Structure with cell and Spacegroup Cell

More information

INTRODUCTION TO COM.DOC

INTRODUCTION TO COM.DOC How About COM & ActiveX Control With Visual C++ 6.0 Author: Curtis CHOU mahler@ms16.hinet.net This document can be freely release and distribute without modify. ACTIVEX CONTROLS... 3 ACTIVEX... 3 MFC ACTIVEX

More information

Some experiences in working with Madagascar: installa7on & development Tengfei Wang, Peng Zou Tongji university

Some experiences in working with Madagascar: installa7on & development Tengfei Wang, Peng Zou Tongji university Some experiences in working with Madagascar: installa7on & development Tengfei Wang, Peng Zou Tongji university Map data @ Google Reproducible research in Madagascar How to conduct a successful installation

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

000

000 Style and Usage Application of Pogo Pin Connector Portable Electronic Products ( Notebook, MP3, DSC, GPS, TV Game Peripherals...) Telecommunication Products (Cell phone, Two-Way Radio, Blue tooth..) Automotive

More information

PCB设计问题集

PCB设计问题集 http://www.maihui.net killmai@163.net PCB VIA 1. 2.Layer_25 Layer_25 3. 25 QFP-44P 1 2 PowerPCB Layer_25 DIP QFP SMD Library:FTL.PT4 Netlist:training.asc, Library:FTLPT4 Netlist:training.asc.!! Traing.PCB,

More information

参考电极的选择

参考电极的选择 «ERPs 实 验 教 程» 重 要 补 遗 ( 二 ) 参 考 电 极 的 选 择 和 转 换 撰 写 : 党 丽 洁, 孟 伟, 张 典 审 校 : 赵 仑 一 参 考 电 极 的 选 择 什 么 样 的 参 考 位 置 才 能 获 得 最 真 实 的 基 线 ( 近 似 于 0 的 ) 信 号 呢? 不 同 的 主 张 产 生 了 不 同 的 参 考 选 择 和 使 用, 包 括 最 普 遍

More information

Computer Architecture

Computer Architecture ECE 3120 Computer Systems Assembly Programming Manjeera Jeedigunta http://blogs.cae.tntech.edu/msjeedigun21 Email: msjeedigun21@tntech.edu Tel: 931-372-6181, Prescott Hall 120 Prev: Basic computer concepts

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南 Symantec Sygate Enterprise Protection 防 护 代 理 安 装 使 用 指 南 5.1 版 版 权 信 息 Copyright 2005 Symantec Corporation. 2005 年 Symantec Corporation 版 权 所 有 All rights reserved. 保 留 所 有 权 利 Symantec Symantec 徽 标 Sygate

More information

Sophos Central 快速安裝手冊

Sophos Central 快速安裝手冊 Sophos Central 快速安裝手冊 1 1. Sophos Central...5 2....9 3....13 3.1. Enduser Protection...13 3.2. Intercept X...21 3.3....28 3.4....36 3.5....45 3.5.1...45 3.5.2...50 3.5.3...54 3.5.4...57 3.5.5...60 3.6...63

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

HLA-B27軟體

HLA-B27軟體 HLA-B27 HLA-B27 CaliBRITE Beads FACSComp HLA-B27 Calibration Beads HLA-B27 HLA-B27 1. HLA-B27 1.1 HLA-B27 HLA Major Histocompatibity Complex MHC HLA HLA-A -B -C HLA HLA-D/DR -DP -DQ B HLA HLA HLA HLA-B27

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

穨Scholar使用手冊.PDF

穨Scholar使用手冊.PDF (Content) (ChemPort Connnection) 3D ( Windows ) (Substructure Module) (Web Site) (Requirements) (Main Menu) (Main Menu Toolbar) (Explore Dialog Box) (Saving Files) (Printing) SciFinder Scholar (Explore

More information

SHIMPO_表1-表4

SHIMPO_表1-表4 For servo motor ABLEREDUCER SSeries Coaxial shaft series Features S series Standard backlash is 3 arc-min, ideal for precision control. High rigidity & high torque were achived by uncaged needle roller

More information

錄...1 說...2 說 說...5 六 率 POST PAY PREPAY DEPOSIT 更

錄...1 說...2 說 說...5 六 率 POST PAY PREPAY DEPOSIT 更 AX5000 Version 1.0 2006 年 9 錄...1 說...2 說...3...4 說...5 六...6 6.1 率...7 6.2 POST PAY...8 6.3 PREPAY DEPOSIT...9 6.4...10 6.5...11 更...12...12 LCD IC LED Flash 更 兩 RJ11 ( ) DC ON OFF ON 狀 狀 更 OFF 復 狀 說

More information

Progress Report of BESIII Slow Control Software Development

Progress Report of BESIII Slow Control Software Development BESIII 慢控制系统高压和 VME 监控 系统的设计和实现 陈锡辉 BESIII 慢控制组 2006-4-27 Outline Design and implementation of HV system Features Implementation Brief introduction to VME system Features Implementation of a demo Tasks

More information

SHIMPO_表1-表4

SHIMPO_表1-表4 For servo motor ABLEREDUCER L Series Features Coaxial shaft series L series Helical gears contribute to reduce vibration and noise. Standard backlash is 5 arc-min, ideal for precision control. High rigidity

More information

Move Component Object selection Component selection UV Maya Hotkeys editor Maya USING MAYA POLYGONAL MODELING 55

Move Component Object selection Component selection UV Maya Hotkeys editor Maya USING MAYA POLYGONAL MODELING 55 3 55 62 63 Move Component 63 70 72 73 73 Object selection Component selection UV Maya Hotkeys editor Maya 55 USING MAYA POLYGONAL MODELING Maya: Essentials Maya Essentials F8 Ctrl F9 Vertex/Face F9 F10

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To PowerDesigner Applying PowerDesigner CASE Tool in OOAD albertchung@mpinfo.com.tw PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE Tool PowerDesigner CASE Tool CASE Tool PowerDesignerUnified ProcessUMLing

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

ebook70-19

ebook70-19 1 9 S t a r O ff i c e Star Division S t a r O ff i c e h t t p / / w w w. s t a r d i v i s i o n. c o m S t a r O ff i c e O p e n L i n u x O p e n L i n u x StarOffice S t a r O ff i c e S t a r O

More information

untitled

untitled (field effect transistor FET) 都 不 理 不 FET (gate G ) FET (source S ) FET (drain D ) 流 流 不 流 流 洞流 利 流來 n (n-channel FET) 利 洞流來 p (p-channel FET)n FET n (channel) 流 流 p FET 洞 p (channel) 流 流 來 類 1 n p FET

More information

DIGITAL VOICE RECORDER WS-33M WS-3M WS-3M CN 6 8 9 8 7 9 9 3 6 7 3 ................................................................................................ ........................................................................

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

麻 省 理 工 學 院 是 在 西 元 2013 年 12 月 3 日 推 出 MIT App Inventor 2 網 站, 提 供 免 費 的 雲 端 服 務, 使 用 者 可 以 透 過 瀏 覽 器 來 開 發 Android 裝 置 應 用 程 式, 該 網 站 的 網 址 為 : http

麻 省 理 工 學 院 是 在 西 元 2013 年 12 月 3 日 推 出 MIT App Inventor 2 網 站, 提 供 免 費 的 雲 端 服 務, 使 用 者 可 以 透 過 瀏 覽 器 來 開 發 Android 裝 置 應 用 程 式, 該 網 站 的 網 址 為 : http 資 訊 學 科 中 心 6 月 份 電 子 報 用 MIT App Inventor2 程 式 拼 圖 來 開 發 Android 裝 置 應 用 程 式 李 啟 龍 學 習 Android 裝 置 程 式 設 計, 可 以 不 必 學 習 較 為 艱 澀 的 Java 語 法, 只 要 使 用 拼 圖 模 式 來 組 合 程 式, 就 可 以 完 成 Android 裝 置 的 應 用 程 式 MIT

More information

Microsoft Word - Front cover_white.doc

Microsoft Word - Front cover_white.doc Real Time Programme 行 情 报 价 程 序 Seamico Securities Public Company Limited WWW.SEAMICO.COM Table of Content 目 录 开 始 使 用 开 始 使 用 Z Net 程 序 程 序 1 股 票 观 察 者 4 每 日 股 票 按 时 间 的 交 易 查 询 10 多 股 同 列 13 股 票 行 情

More information