目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0

Size: px
Start display at page:

Download "目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0"

Transcription

1 CMOS 0 MHz 三通道 8 位高速视频 DAC ADV75 特性吞吐量 :0 MSPS 三个 8 位 DAC RS-4A/RS-70 兼容输出互补输出 DAC 输出电流范围 :.0 ma 至.5 ma TTL 兼容输入.5 V 内部基准电压源 +5 V/+. V 单电源供电 48 引脚 LQFP 和 LFCSP 封装低功耗 :0 mw( 最小值, V) 低功耗 ( 待机模式 ): mw( 典型值, V) 工业温度范围 : 40 C 至 +85 C 无铅 (Pb) 封装通过汽车应用认证应用数字视频系统高分辨率彩色图形数字无线电调制图像处理仪器仪表视频信号重构车载信息娱乐系统 BLANK SYNC R7 TO R0 G7 TO G0 B7 TO B0 PSAVE CLOCK DATA REGISTER DATA REGISTER DATA REGISTER POWER-DOWN MODE 功能框图 DAC DAC DAC R SET COMP 图 BLANK AND SYNC LOGIC VOLTAGE REFERENCE CIRCUIT ADV75 IOR IOR IOG IOG IOB IOB V REF 概述 ADV75 (ADV ) 是一款单芯片 三通道 高速数模转换器, 内置三个高速 8 位 带互补输出的视频 DAC 一个标准 TTL 输入接口以及一个高阻抗 模拟输出电流源 它具有三个独立的 8 位宽输入端口 只需一个 +5 V/+. V 单电源和时钟便能工作 ADV75 还具有其他视频控制信号 : 复合 SYNC 和 BLANK; 以及省电模式 ADV75 采用 5 V CMOS 工艺制造, 单芯片 CMOS 架构 可确保以较低功耗提供更多功能 ADV75 提供 48 引脚 LQFP 和 48 引脚 LFCSP 两种封装 产品聚焦. 吐量 :0 MSPS( 仅需. V 电源 ). 保证 8 位单调性. 与各种高分辨率彩色图形系统兼容, 包括 RS-4A 和 RS-70 ADV 是 ADI 公司的注册商标 Rev. C Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No One Technology Way, P.O. Box 90, Norwood, MA 00-90, U.S.A. license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Tel: Trademarks and registered trademarks are the property of their respective owners. Fax: Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. Fax: Analog Devices, Inc. All rights reserved. ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0 电路描述和工作原理... 数字输入... 时钟输入... 视频同步和控制... 基准输入... DAC... 模拟输出... 灰阶工作原理... 视频输出缓冲器... PCB 布局考虑... 数字信号互连... 模拟信号互连...4 外形尺寸...5 订购指南... 汽车应用级产品... 修订历史 0 年 月 修订版 B 至修订版 C 更改表 年 7 月 修订版 A 至修订版 B 更改 特性 部分... 更改时钟频率参数和表 4... 更改图... 更改图 4 和图 5... 更改表 7... 更改订购指南的尾注...5 增加 汽车应用级产品 部分 年 月 修订版 0 至修订版 A 更新格式... 通用 更改 特性 部分 应用 部分和 概述 部分... 更改图 和表...8 删除 接地层 部分 电源层 部分和 电源去耦 部分... 更改图 5... 更改表 7 模拟输出 部分 图 和图 7... 更改 视频输出缓冲器 部分 PCB 布局考虑 部分和图 9... 更改 模拟信号互连 部分和图 更新外形尺寸...5 更改订购指南 年 0 月 修订版 0: 初始版 Rev. C Page of

3 技术规格 5 V 电气特性 = 5 V ± 5%,V REF =.5 V,R SET = 50 Ω,C L = 0 pf 除非另有说明, 所有规格均为 T MIN 至 T MAX,T J MAX = 0 C 表 参数 最小值 典型值 最大值 单位 测试条件 静态性能分辨率 ( 每个 DAC) 积分非线性 (BSL) 微分非线性数字和控制输入输入高电压 V IH 输入低电压 V IL 输入电流 I IN PSAVE 上拉电流输入电容 C IN 模拟输出输出电流 DAC 间匹配输出顺从电压范围 V OC 输出阻抗 R OUT 输出电容 C OUT 失调误差 增益误差内部和外部基准电压 8 位 ±0.4 + LSB ±0.5 + LSB 保证单调性 V 0.8 V + μa VIN = 0.0 V 或 VDD 0 μa 0 pf.0.5 ma 绿色 DAC, SYNC = 高 ma RGB DAC, SYNC = 低.0 5 % 0.4 V 00 kω 0 pf IOUT = 0 ma % FSR 测试条件 :DAC 输出 = 0 V % FSR FSR = 8. ma ADV75 基准电压范围 (V REF ) 功耗 数字电源电流 模拟电源电流 待机电源电流 4 电源抑制比..5.5 V.4 9 ma fclk = 50 MHz ma fclk = 40 MHz 8 5 ma fclk = 40 MHz 7 7 ma RSET = 50 Ω 8 ma RSET = 49 Ω. 5.0 ma PSAVE = 低, V DD 下的数字和控制输入 %/% 温度范围 T MIN 至 T MAX :50 MHz 和 40 MHz 下为 40 C 至 +85 C,40 MHz 和 0 MHz 下为 0 C 至 +70 C 增益误差 = (( 测得 (FSC)/ 理想 (FSC) ) 00), 其中理想值 = V REF /R SET K (0xFFH) 4 且 K = 数字电源使用连续时钟及 0 V 与 V DD 下的输入电平进行测量, 该连续时钟具有对应于斜坡图样的数字输入 4 这些最大值 / 最小值规格在 4.75 V 至 5.5 V 范围内通过特性保证 Rev. C Page of

4 . V 电气特性 =.0 V 至. V,V REF =.5 V,R SET = 50 Ω,C L = 0 pf 除非另有说明, 所有规格均为 T MIN 至 T MAX,T J MAX = 0 C 表 参数 最小值典型值 最大值 单位 测试条件 静态性能 分辨率 ( 每个 DAC) 8 位 RSET = 80 Ω 积分非线性 (BSL) ±0.5 + LSB RSET = 80 Ω 微分非线性 ±0.5 + LSB RSET = 80 Ω 数字和控制输入输入高电压 V IH 输入低电压 V IL 输入电流 I IN PSAVE 上拉电流输入电容 C IN 模拟输出输出电流 DAC 间匹配输出顺从电压范围 V OC 输出阻抗 R OUT 输出电容 C OUT 失调误差 增益误差外部基准电压源基准电压范围 (V REF ) 内部基准电压源基准电压 (V REF ) 功耗 4 数字电源电流 模拟电源电流 待机电源电流电源抑制比.0 V 0.8 V + μa VIN = 0.0 V or VDD 0 μa 0 pf.0.5 ma 绿色 DAC, SYNC = 高 ma RGB DAC, SYNC = 低.0 % 0.4 V 70 kω 0 pf 0 0 % FSR 测试条件 :DAC 输出 = 0 V 0 % FSR FSR = 8. ma..5.5 V.5 V. 5.0 ma fclk = 50 MHz.5.0 ma fclk = 40 MHz 5 ma fclk = 40 MHz ma fclk = 0 MHz 7 7 ma RSET = 50 Ω 8 ma RSET = 49 Ω. 5.0 ma PSAVE = 低,V DD 下的数字和控制输入 %/% 温度范围 T MIN 至 T MAX :50 MHz 和 40 MHz 下为 40 C 至 +85 C,40 MHz 和 0 MHz 下为 0 C 至 +70 C 这些最大值 / 最小值规格在.0 V 至. V 范围内通过特性保证 增益误差 = (( 测得 (FSC)/ 理想 (FSC) ) 00), 其中理想值 = V REF /R SET K (0xFFH) 4 且 K = 数字电源使用连续时钟及 0 V 与 V DD 下的输入电平进行测量, 该连续时钟具有对应于斜坡图样的数字输入 Rev. C Page 4 of

5 5 V 时序规格 = 5 V ± 5%,V REF =.5 V,R SET = 50 Ω,C L = 0 pf 除非另有说明, 所有规格均为 T MIN 至 T MAX,T J MAX = 0 C 表 参数 符号 最小值 典型值 最大值 单位 条件 模拟输出模拟输出延迟 4 模拟输出上升 / 下降时间 5 模拟输出转换时间 模拟输出偏斜时钟控制 7 CLOCK 频率 数据和控制设置 数据和控制保持 CLOCK 周期 CLOCK 高电平脉宽 CLOCK 低电平脉宽 CLOCK 高电平脉宽 CLOCK 低电平脉宽 CLOCK 高电平脉宽 CLOCK 低电平脉宽流水线延迟 PSAVE 启动时间 t 5.5 ns t7.0 ns t8 5 ns t9 ns fclk MHz 50 MHz 级 MHz 40 MHz 级 MHz 40 MHz 级 t 0.5 ns t.5 ns t 4.7 ns t4.875 ns fclk_max = 40 MHz t5.875 ns fclk_max = 40 MHz t4.85 ns fclk_max = 40 MHz t5.85 ns fclk_max = 40 MHz t4 8.0 ns fclk_max = 50 MHz t5 8.0 ns fclk_max = 50 MHz tpd 时钟周期 t0 0 ns 最大值和最小值规格在此范围内得到保证 温度范围 T MIN 至 T MAX :50 MHz 和 40 MHz 下为 40 C 至 +85 C,40 MHz 下为 0 C 至 +70 C 5 V 和. V 两种电源的时序规格均使用.0 V (V IH ) 和 0 V (V IL ) 的输入电平进行测量 4 上升时间从零至满量程转换的 0% 测量至 90% 点, 下降时间从满量程转换的 90% 测量至 0% 点 5 从满量程转换的 50% 点测量至最终值的 % 通过特性保证 f 最大值规格在 5 MHz 和 5 V 下进行生产测试 此处指定的限值通过特性保证 7 CLK Rev. C Page 5 of

6 . V 时序规格 =.0 V 至. V,V REF =.5 V,R SET = 50 Ω,C L = 0 pf 除非另有说明, 所有规格均为 T MIN 至 T MAX,T J MAX = 0 C 表 4 参数 符号 最小值 典型值 最大值 单位 条件 模拟输出模拟输出延迟 4 模拟输出上升 / 下降时间 5 模拟输出转换时间 模拟输出偏斜时钟控制 7 CLOCK 频率 数据和控制设置 数据和控制保持 CLOCK 周期 CLOCK 高电平脉宽 CLOCK 低电平脉宽 CLOCK 高电平脉宽 CLOCK 低电平脉宽 CLOCK 高电平脉宽 CLOCK 低电平脉宽 CLOCK 高电平脉宽 CLOCK 低电平脉宽流水线延迟 PSAVE 启动时间 t 7.5 ns 7.0 ns t8 5 ns t9 ns fclk 50 MHz 50 MHz 级 40 MHz 40 MHz 级 40 MHz 40 MHz 级 0 MHz 0 MHz 级 t 0. ns t.5 ns t ns t4.4 ns fclk_max = 0 MHz t5.4 ns fclk_max = 0 MHz t4.875 ns fclk_max = 40 MHz t5.875 ns fclk_max = 40 MHz t4.85 ns fclk_max = 40 MHz t5.85 ns fclk_max = 40 MHz t4 8.0 ns fclk_max = 50 MHz t5 8.0 ns fclk_max = 50 MHz tpd 时钟周期 t0 4 0 ns 这些最大值和最小值规格在此范围内得到保证 温度范围 :T MIN 至 T MAX :50 MHz 和 40 MHz 下为 40 C 至 +85 C,40 MHz 和 0 MHz 下为 0 C 至 +70 C. V 电源的时序规格使用.0 V (V IH ) 和 0 V (V IL ) 的输入电平进行测量 4 上升时间从零至满量程转换的 0% 测量至 90% 点, 下降时间从满量程转换的 90% 测量至 0% 点 5 从满量程转换的 50% 点测量至最终值的 % 通过特性保证 7 f CLK 最大值规格在 5 MHz 和 5 V 下进行生产测试 此处指定的限值通过特性保证 t t 4 t 5 CLOCK DIGITAL INPUTS (R7 TO R0, G7 TO G0, B7 TO B0, SYNC, BLANK) t t t t 8 ANALOG OUTPUTS (IOR, IOR, IOG, IOG, IOB, IOB) t 7 NOTES. OUTPUT DELAY (t ) MEASURED FROM THE 50% POINT OF THE RISING EDGE OF CLOCK TO THE 50% POINT OF FULL-SCALE TRANSITION.. OUTPUT RISE/FALL TIME (t 7 ) MEASURED BETWEEN THE 0% AND 90% POINTS OF FULL-SCALE TRANSITION.. TRANSITION TIME (t 8 ) MEASURED FROM THE 50% POINT OF FULL-SCALE TRANSITION TO WITHIN % OF THE FINAL OUTPUT VALUE. 图. 时序图 Rev. C Page of

7 绝对最大额定值 表 5 参数 至 任意数字引脚上的电压工作环境温度 (T A ) 存储温度 (T S ) 结温 (T J ) 引脚温度 ( 焊接,0 秒 ) 气相焊接 ( 分钟 ) I OUT 至 额定值 7 V 0.5 V 至 V 40 C 至 +85 C 5 C 至 +50 C 50 C 00 C 0 C 0 V 至 VAA 任何电源或共用 的模拟输出短路都可能是无限期的 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 不表示在这些条件下或者在任何其它超出本技术规范操作章节中所示规格的条件下, 器件能够正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 ESD 警告 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 Rev. C Page 7 of

8 引脚配置和功能描述 B0 B B B B4 B5 B B7 CLOCK R7 R R5 R4 R R R R0 PSAVE R SET G0 G 4 G 5 G G4 7 G5 8 G 9 G7 0 BLANK SYNC PIN INDICATOR ADV75 TOP VIEW (Not to Scale) V REF 5 COMP 4 IOR IOR IOG IOG IOB IOB NOTES. THE LFCSP_VQ HAS AN EXPOSED PADDLE THAT MUST BE CONNECTED TO. 图. 引脚配置 表. 引脚功能描述 引脚编号 引脚名称 描述,, 4, 5, 5,, 9, 40 地 必须连接所有 引脚 至 0, 至, 4 至 48 G0 至 G7, B0 至 B7, R0 至 R7 BLANK SYNC 红色 绿色和蓝色像素数据输入 ( 兼容 TTL) 像素数据在 CLOCK 的上升沿锁存 R0 G0 和 B0 是最低有效数据位 未使用的像素数据输入应连接至常规印刷电路板 (PCB) 电源或接地层 复合消隐控制输入 ( 兼容 TTL) 该控制输入上的逻辑 0 将模拟输出 IOR IOB 和 IOG 驱动至消隐电平 BLANK 信号在 CLOCK 的上升沿锁存 虽然 BLANK 为逻辑 0, 但 R0 至 R7 G0 至 G7 B0 至 B7 像素输入被忽略 复合同步控制输入 ( 兼容 TTL) SYNC 输入端的逻辑 0 切断 40 IRE 电流源 该引脚内部连接至 IOG 模拟输出 SYNC 不会覆盖任何其他控制或数据输入 ; 因此仅应在消隐间隔期间置位 SYNC 在 CLOCK 的上升沿锁存 如果绿色通道上不需要同步信息,SYNC 输入应连接到逻辑 0, 9, 0 VAA 4 CLOCK,, 7 IOR, IOG, IOB 4,, 8 IOR, IOG, IOB 5 COMP VREF 模拟电源 (5 V ± 5%) 必须连接 ADV75 上的所有 VAA 引脚 时钟输入 ( 兼容 TTL) CLOCK 的上升沿锁存 R0 至 R7 G0 至 G7 B0 至 B7 SYNC 及 BLANK 像素和控制输入 它通常是视频系统的像素时钟速率 CLOCK 应由专用 TTL 缓冲器驱动 差分红色 绿色和蓝色电流输出 ( 高阻抗电流源 ) 这些 RGB 视频输出可将 RS-4A 和 RS-70 视频电平直接驱动至双端接 75 Ω 负载 如果不需要互补输出, 这些输出应接地 红色 绿色和蓝色电流输出 这些高阻抗电流源能够直接驱动双端接 75 Ω 同轴电缆 无论是否使用, 所有三个电流输出都应具有相似的输出负载 该引脚是内部基准放大器的补偿引脚 必须在 COMP 与 之间连接 0. μf 陶瓷电容 用于 DAC 或基准电压源输出 (.5 V) 的基准电压源输入 Rev. C Page 8 of

9 引脚编号引脚名称描述 7 RSET 连接于该引脚与 之间的电阻 (R SET ) 可控制满量程视频信号的幅度 请注意, 无论满量程输出电流如何, 应维持 IRE 关系 IOG 上的 R SET 与满量程输出电流间的关系 ( 假定 I SYNC 连接至 IOG) 由下式给出 : R SET (Ω) =,445 V REF (V)/IOG (ma) IOR IOG 和 IOB 上的 R SET 与满量程输出电流间的关系由下式给出 : IOG (ma) =,444.8 V REF (V)/R SET (Ω)(SYNC 置位 ) IOR, IOB (ma) = V REF (V)/R SET (Ω) 不使用 SYNC 时 ( 即 SYNC 永久性地接低电平 ),IOG 的公式与 IOR 与 IOB 的公式相同 8 PSAVE 49 (EPAD) EP (EPAD) 省电控制引脚 该引脚有效时,ADV75 上的功耗可降低 LFCSP_VQ 具有一个必须连接至 的裸露焊盘 Rev. C Page 9 of

10 术语 消隐电平即分离 SYNC 部分与波形视频部分的电平, 通常称为前沿和后沿箝位 在 0 IRE 单元处, 该电平关闭图像管道, 从而产生最暗图像 彩色视频 (RGB) 表示将红 绿 蓝三原色组合以在正常频谱内产生彩色图像的技术 RGB 监视器中需要三个 DAC, 每种颜色一个 同步信号 (SYNC) 复合视频信号同步扫描过程的位置 灰阶视频信号在基准黑色与基准白色电平之间的离散级 8 位 DAC 含 5 个不同电平 光栅扫描最基本的扫描方法, 每次扫描 CRT 一行, 以产生和显示影像 基准黑色电平视频信号的最大负极性幅度 基准白色电平视频信号的最大正极性幅度 同步电平 SYNC 信号的峰值电平 视频信号复合视频信号的一部分, 其灰阶电平在基准白色与基准黑色间变化 也称为图像信号, 即视觉上可观察的部分 Rev. C Page 0 of

11 电路描述和工作原理 ADV75 包含三个 8 位 DAC 和三个输入通道, 每个通道含一个 8 位寄存器 另外板上集成了基准放大器 ADV75 板上还集成有 CRT 控制功能 :BLANK 和 SYNC 数字输入 4 位像素数据 ( 颜色信息 ) 在每个时钟周期的上升沿锁存至器件内 :R0 至 R7 G0 至 G7 和 B0 至 B7 此数据被提供给三个 8 位 DAC, 然后转换为三个模拟 (RGB) 输出波形 ( 参见图 4) CLOCK DIGITAL INPUTS (R7 TO R0, G7 TO G0, B7 TO B0, SYNC, BLANK) ANALOG OUTPUTS (IOR, IOR, IOG, IOG, IOB, IOB) DATA 图 4. 视频数据输入 / 输出 ADV75 还有两个附加的控制信号, 以相似方式锁存至模拟视频输出 BLANK 和 SYNC 分别在 CLOCK 的上升沿锁存, 以便与像素数据流保持同步 BLANK 和 SYNC 功能允许将这些视频同步信号编码至 RGB 视频输出上 具体方法是将适当加权的电流源添加至模拟输出, 这些模拟输出取决于 BLANK 和 SYNC 数字输入上的逻辑电平 图 5 显示了模拟输出, 即 ADV75 的 RGB 视频波形 SYNC 和 BLANK 对模拟视频波形的影响如图所示 表 7 详细说明了对 BLANK 和 SYNC 的模拟输出的最终效果 所有这些数字输入均可接受 TTL 逻辑电平 时钟输入 ADV75 的 CLOCK 输入通常是系统的像素时钟速率, 也称为点速率 点速率以及所需的 CLOCK 频率均由屏幕分辨率决定, 依据公式如下 : 点速率 =( 水平分辨率 ) ( 垂直分辨率 ) ( 刷新速率 )/( 回扫因数 ) 其中 : 水平分辨率是每一行的像素数量 垂直分辨率是每一帧的行数量 刷新速率是水平扫描速率 这是必须刷新屏幕的速率, 逐行系统通常是 0 Hz, 隔行系统通常是 0 Hz 回扫因数是总消隐时间因数 该因数考虑到这一情况 : 即显示器消隐的持续时间为每一帧总持续时间的一部分 ( 例如 0.8) 因此, 对于 分辨率的图形系统, 刷新速率为隔行 0 Hz, 回扫因数为 0.8, 点速率 = /0.8 = 78. MHz 所需的 CLOCK 频率则为 78. MHz 如 数字输入 部分所述, 所有视频数据和控制输入在 CLOCK 的上升沿锁存至 ADV75 内 建议通过 TTL 缓冲器 ( 例如 74F44) 将 CLOCK 输入驱动至 ADV75 RED AND BLUE ma V GREEN ma V WHITE LEVEL BLANK LEVEL 0 0 SYNC LEVEL NOTES. OUTPUTS CONNECTED TO A DOUBLY TERMINATED 75Ω LOAD.. V REF =.5V, R SET = 50Ω.. RS-4 LEVELS AND TOLERANCES ASSUMED ON ALL LEVELS 图 5. 典型 RGB 视频输出波形 Rev. C Page of

12 表 7. 典型视频输出真值表 (RSET = 50 Ω,RLOAD = 7.5 Ω) 视频输出电平 IOG (ma) IOG (ma) IOR/IOB (ma) IOR/IOB (ma) SYNC BLANK DAC 输入数据 白色电平 xFFH 视频 视频 视频 视频 8.7 视频 数据 视频至 BLANK 视频 8.7 视频 视频 8.7 视频 0 数据 黑色电平 x00H 黑色至 BLANK x00H BLANK 电平 xXXH ( 任意 ) SYNC 电平 xXXH ( 任意 ) 视频同步和控制 ADV75 具有单一复合同步 (SYNC) 输入控制 许多图形处理器和 CRT 控制器能够生成水平同步 (HSYNC) 垂直同步 (VSYNC) 和复合 SYNC 在不能自动生成复合 SYNC 信号的图形系统中, 可通过内置一些其他逻辑电路来生成复合 SYNC 信号 同步电流内部直接连接至 IOG 输出, 从而将视频同步信息编码至绿色视频通道上 如果不需要将同步信息编码至 ADV75 上,SYNC 输入应连接到逻辑低电平 基准输入 ADV75 内置片内基准电压源 VREF 引脚应按图 0 所示进行连接 模拟输出 ADV75 具有三个模拟输出, 分别对应于红色 绿色和蓝色视频信号 ADV75 的红色 绿色和蓝色模拟输出是高阻抗电流源 这三个 RGB 电流输出均能直接驱动 7.5 Ω 负载, 例如双端接 75 Ω 同轴电缆 图 分别显示了连接到双端接 75 Ω 负载的三个 RGB 输出的所需配置 该配置在 75 Ω 监视器两端产生 RS-4A 视频输出电平 将 RS-70 视频电平驱动至 75 Ω 监视器的建议方法如图 7 所示 DAC 的输出电流电平保持不变, 但三个 DAC 上的源端接电阻 ZS 均从 75 Ω 增加至 50 Ω IOR, IOG, IOB Z 0 = 75Ω 连接于 RSET 引脚与 之间的电阻 RSET 决定了 ADV75 的输出视频电平的幅度, 如公式 和公式 所示 : IOG (ma) =,444.8 VREF (V)/RSET (Ω) () DACs Z S = 75Ω (SOURCE TERMINATION) (CABLE) Z L = 75Ω (MONITOR) IOR, IOB (ma) = VREF (V)/RSET (Ω) () 使用 SYNC 时, 公式 仅适用于 ADV75 如果未将 SYNC 编码至绿色通道上, 公式 与公式 相似 由于 R SET 使用可变值, 模拟输出视频电平可进行精确调节 R SET 使用固定 50 Ω 电阻, 产生 规格 部分所列的模拟输出电平 这些值通常对应于 RS-4A 视频波形值, 如图 5 所示 DAC ADV75 包含三个匹配的 8 位 DAC DAC 采用先进的高速分段式架构 对应于每个数字输入的位电流通过复杂的解码方案发送至模拟输出 ( 位 = ) 或 ( 位 = 0) 由于该电路是整体单芯片器件, 三个 DAC 之间的匹配得以优化 除匹配外, 在单芯片设计中使用相同电流源保证了单调性和低毛刺 片内运算放大器保证了满量程输出电流在面对温度和电源变动时的稳定性 TERMINATION REPEATED THREE TIMES FOR RED, GREEN, AND BLUE DACs DACs Figure. Analog Output Termination for RS-4A Z S = 50Ω (SOURCE TERMINATION) IOR, IOG, IOB Z 0 = 75Ω (CABLE) TERMINATION REPEATED THREE TIMES FOR RED, GREEN, AND BLUE DACs 图 7. RS-70 的模拟输出端接 Z L = 75Ω (MONITOR) 有关各种输出配置的负载端接 ( 包括 RS-4A 和 RS-70) 的详情, 请参见 ADI 公司的 AN-05 应用笔记 : 视频格式和所需的负载端接, 网址为 Rev. C Page of

13 图 5 显示了与驱动图 中双端接 75 Ω 负载的三个 RGB 输出相关的视频波形 除灰阶电平 ( 黑色电平至白色电平 ) 外, 图 5 还显示了 SYNC 和 BLANK 对 ADV75 的影响 这些控制输入向模拟输出添加适当加权的电流, 从而对视频应用提出了具体的输出电平要求 表 7 详述 SYNC 和 BLANK 输入如何修改输出电平 灰阶工作原理 ADV75 可用于独立 灰阶 ( 单色 ) 或复合视频应用 ( 即仅一个通道用于视频信号 ) 红色 绿色或蓝色三个通道中的任一个可用于输入数字视频数据 两个未使用的视频数据通道应连接到逻辑 0 未使用的模拟输出应使用与已用通道相同的负载进行端接, 也就是说, 如果使用红色通道, 并用双端接 75 Ω 负载 (7.5 Ω) 端接 IOR, 则应用 7.5 Ω 负载端接 IOB 和 IOG( 参见图 8) VIDEO OUTPUT R0 IOR R7 IOG ADV75 G0 G7 IOB B0 B7 7.5Ω 7.5Ω 图 8. 独立灰阶或复合视频的输入和输出连接 DOUBLY TERMINATED 75Ω LOAD 视频输出缓冲器 ADV75 可驱动传输线路负载 驱动此类负载的模拟输出配置在 模拟输出 部分及图 9 中说明 但在某些应用中, 可能需要驱动较长的传输线电缆长度 大于 0 米的电缆长度可衰减和扭曲高频模拟输出脉冲 内置输出缓冲器可补偿部分电缆失真 缓冲器需要具有较大的全功率带宽和 至 4 之间的增益 这些缓冲器还需要在整个输出电压摆幅上供应充足电流 ADI 公司针对此类应用生产各种适用的运算放大器, 其中包括 AD84 AD844 AD847 和 AD848 系列单芯片运算放大器 在超高频应用 (80 MHz) 中, 推荐使用 AD80 有关线路驱动器缓冲电路的详细信息, 请参考相关运算放大器数据手册 使用缓冲放大器同样允许实施除 RS-4A 和 RS-70 以外的其他视频标准 改变缓冲电路增益元件可产生所需的视频电平 IOR, IOG, IOB DACs Z S = 75Ω (SOURCE TERMINATION) Z Z +V S 4 AD848 V S 0.µF 7 0.µF 75Ω Z 0 = 75Ω (CABLE) Z GAIN (G) = + Z 图 9. AD848 用作输出缓冲器 Z L = 75Ω (MONITOR) PCB 布局考虑 ADV75 经过最佳设计, 可实现最低的噪声, 包括辐射和传导噪声 为了配合 ADV75 的出色噪声性能, 必须对 PCB 布局予以仔细考量 图 0 给出了 ADV75 的建议连接图 布局应尽可能降低 ADV75 电源和接地线路上的噪声 这一目标可通过屏蔽数字输入并提供良好去耦来实现 应缩短 与 引脚组之间的引线长度, 并最大程度地减少电感振铃 建议使用 4 层印刷电路板和单一接地层 接地层和电源层应将信号走线层与焊接侧层分开 模拟电源层上的噪声可通过使用多个去耦电容得到进一步减少 ( 参见图 0) 利用 0. μf 和 0.0 μf 陶瓷电容可实现最佳性能 使用尽可能短的电容引线, 尽可能将电容靠近器件放置, 将每个 引脚单独去耦至地, 最大程度地降低引线电感 务必注意, 虽然 ADV75 包含电源噪声抑制电路, 但该抑制能力会随频率而降低 如果使用高频开关电源, 请注意减少电源噪声 直流电源滤波器 (Murata BNX00) 可在开关电源和主 PCB 之间提供 EMI 抑制 或者, 可考虑使用 引脚稳压器 数字信号互连连接至 ADV75 的数字信号线路应尽可能与模拟输出和其他模拟电路隔离 数字信号线路不应与模拟电源层重叠 由于使用高时钟速率, 应避免为 ADV75 连接长时钟线路, 以最大程度地减少噪声拾取 用于数字输入的任何有源上拉端接电阻应连接至正常 PCB 电源层 (V CC ), 而不是模拟电源层 Rev. C Page of

14 模拟信号互连 ADV75 尽可能靠近输出连接器放置, 以便最大程度地减少噪声拾取以及阻抗失配引起的反射 视频输出信号应与接地层而不是模拟电源层重叠, 从而最大化高频电源抑制 为了实现最佳性能, 每个模拟输出应具有连接 75 Ω 的源端接电阻到地 ( 双端接 75 Ω 配置 ) 该端接电阻应尽可能靠近 ADV75 以最大程度地减少反射 有关 PCB 设计的其他信息请参见 ADI 公司的 AN- 应用笔记 : 用于降低 EMI 的视频图形系统设计和布局, 网址为 POWER SUPPLY DECOUPLING (0.µF AND 0.0µF CAPACITOR FOR EACH GROUP) 0.µF 5 0.µF 0.0µF, 9, 0 COMP VIDEO DATA INPUTS 4 TO 48 TO 0 TO R7 TO R0 G7 TO G0 V REF R SET 7 IOR 4 AD580 R SET 50Ω kω µf COAXIAL CABLE 75Ω 75Ω MONITOR (CRT) B7 TO B0 IOG ADV75 75Ω IOB 8 75Ω 75Ω 75Ω 75Ω SYNC IOR BNC CONNECTORS BLANK 4 CLOCK IOG COMPLEMENTARY OUTPUTS 8 PSAVE IOB 7,, 4, 5, 5,, 9, 图 0. 典型连接图 Rev. C Page 4 of

15 外形尺寸 MAX SQ SEATING PLANE VIEW A ROTATED 90 CCW COPLANARITY VIEW A 0.50 BSC LEAD PITCH PIN COMPLIANT TO JEDEC STANDARDS MS-0-BBC TOP VIEW (PINS DOWN) SQ A 图. 48 引脚薄型四方扁平封装 [LQFP] (ST-48) 尺寸单位 :mm 7.00 BSC SQ PIN INDICATOR MAX MAX 0.8 PIN 7 48 INDICATOR TOP VIEW.75 BSC SQ EXPOSED PAD (BOTTOM VIEW) SQ MAX SEATING PLANE 0.80 MAX 0.5 TYP 0.50 BSC MAX 0.0 NOM COPLANARITY 0.0 REF COMPLIANT TO JEDEC STANDARDS MO-0-VKKD REF 0.5 MIN FOR PROPER CONNECTION OF THE EXPOSED PAD, REFER TO THE PIN CONFIGURATION AND FUNCTION DESCRIPTIONS SECTION OF THIS DATA SHEET A 图. 48 引脚引脚架构芯片级封装 [LFCSP_VQ] 7 mm 7 mm 超薄四方体 (CP-48-) 尺寸单位 :mm Rev. C Page 5 of

16 订购指南,, 型号 温度范围 封装描述 速度选项 封装选项 ADV75KSTZ50 40 C 至 +85 C 48 引脚 LQFP 50 MHz ST-48 ADV75KSTZ50-REEL 40 C 至 +85 C 48 引脚 LQFP 50 MHz ST-48 ADV75KSTZ40 40 C 至 +85 C 48 引脚 LQFP 40 MHz ST-48 ADV75JSTZ40 0 C 至 +70 C 48 引脚 LQFP 40 MHz ST-48 ADV75JSTZ0 0 C 至 +70 C 48 引脚 LQFP 0 MHz ST-48 ADV75WBSTZ70 40 C 至 +85 C 48 引脚 LQFP 70 MHz ST-48 ADV75WBSTZ70-RL 40 C 至 +85 C 48 引脚 LQFP 70 MHz ST-48 ADV75BCPZ70 40 C 至 +85 C 48 引脚 LFCSP_VQ 70 MHz CP-48- ADV75BCPZ70-RL 40 C 至 +85 C 48 引脚 LFCSP_VQ 70 MHz CP-48- ADV75WBCPZ70 40 C 至 +85 C 48 引脚 LFCSP_VQ 70 MHz CP-48- ADV75WBCPZ70-RL 40 C 至 +85 C 48 引脚 LFCSP_VQ 70 MHz CP-48- Z = 符合 RoHS 标准的器件 W = 通过汽车应用认证 ADV75JSTZ0 仅提供. V 选项 汽车应用级产品 ADV75W 生产工艺受到严格控制, 以提供满足汽车应用的质量和可靠性要求 请注意, 车用型号的技术规格可能不同于商用型号 ; 因此, 设计人员应仔细阅读本数据手册的技术规格部分 只有显示为汽车应用级的产品才能用于汽车应用 欲了解特定产品的订购信息并获得这些型号的汽车可靠性报告, 请联系当地 ADI 客户代表 00 0 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D0097sc-0-7/(C) Rev. C Page of

ST 240MHz D/A SFDR 50MH 1MH 70dB 140MH 40HM -53dB RS-343A/RS-170 DA 2mA 26mA TTL 1.23 +5/+3.3 48LQFP 3 30mW 3 6mW -40 0 C +85 DA TTL 5 3.3 1 SYNC 2BLANK 5CMOS CMOS 48 LQFP shenzhenshuianjichengdianlu www.szsjcdl.com

More information

文件编号

文件编号 DATA SHEET 高清视频编码电路 2015.01 成都振芯科技股份有限公司 高清晰视频编码电路 版本记录 :1.0 新旧版本改动比较 : 旧版当前版本文档页数文档页数 当前版本时间 :2015 年 01 月 主题 ( 和旧版本相比的主要变化 ) 如果您有技术 交付或价格方面的任何问题, 请联系成都振芯科技股份有限公司的相关办公室或当地 的代理商, 或访问官方网站 :http://www.corpro.cn

More information

文件编号

文件编号 GM7123 3 This specification are subject to be changed without notice. Any latest information please preview http://www.fosvos.com DATA SHEET GM7123 3 2010.07 +86-21-58998693/58994470 This specification

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Video_Encoder_FAQ V1 2

Video_Encoder_FAQ V1 2 Video Encoders 编写人 CAC(AL) 版本号 Rev 1.2 ------------------------------------------------------------------------------------------------------------ 本报告为 Analog Devices Inc. (ADI) 中国技术支持中心专用,ADI 可以随时修改本报告而不用通知任何使用本报告的人员

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

ADV322/ADV323 目录特性... 1 应用... 1 功能框图... 1 概述... 1 修订历史... 2 技术规格... 3 OSD 禁用... 3 OSD 使能... 4 时序特性 ( 串行模式 )... 5 绝对最大额定值... 6 热阻... 6 功耗... 6 ESD 警告..

ADV322/ADV323 目录特性... 1 应用... 1 功能框图... 1 概述... 1 修订历史... 2 技术规格... 3 OSD 禁用... 3 OSD 使能... 4 时序特性 ( 串行模式 )... 5 绝对最大额定值... 6 热阻... 6 功耗... 6 ESD 警告.. 3 MHz 32 16 缓冲式模拟交叉点开关 ADV322/ADV323 产品特性大型 32 16 非阻塞式开关阵列 G = +1 (ADV322) 或 G = +2 (ADV323) 工作提供 32 32 引脚兼容版本 (ADV32/ADV321) +5 V 单电源,±2.5 V 双电源或 ±3.3 V 双电源 (G = +2) 开关阵列的串行编程每个输出均配备 2:1 OSD 插入多路复用器输入同步端箝位利用高阻抗输出禁用功能,

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

V6800/V6600 3D

V6800/V6600 3D V6800/V6600 3D V6600/V6800 3D R 2000 2 3 4 5 R 6 7 8 The VIP (Video Interface Port) Connector are used for third party add-on modules, such as video capture cards or television tuners. DDR: Double Data

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

凯莱东尼铁路应用同轴电缆

凯莱东尼铁路应用同轴电缆 凯莱东尼铁路应用同轴电缆 www.addison-cables.com 凯莱东尼铁路电缆 目录 目录 FRA 316 SW4 2 FRA 400 SW4 4 FRA 213D SW4 6 FRA 213 URM SW4 8 FRA 3002 SW4 10 FRA 59 SW4 12 FRA 179 SW4 14 COAXIAL CABLES 1 FRA 316 SW4 Ô Ô应用 该电缆适合铁路机车内外灵活安装

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低 1.5 GHz 超高速运算放大器 AD8 产品特性高速 3 db 带宽 :1.5 GHz (G = +1) 65 MHz 全功率带宽 (,V O = 2 V p-p) 压摆率 :4,1 V/μs.1% 建立时间 :12 ns 出色的视频特性.1 db 平坦度 :17 MHz 差分增益 :.2% 差分相位 :.1 输出过驱恢复 :22 ns 低噪声 : 输入电压噪声 :1.6 nv/ Hz 宽带宽范围内低失真无杂散动态范围

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

TONE RINGER

TONE RINGER 三通道 6 阶高清视频滤波驱动 芯片概述 是一个 3 通道视频缓冲器, 它内部集成 6dB 增益的轨到轨输出驱动器和 6 阶输出重建滤波器 的 -3dB 带宽为 35MHz, 压摆率为 160V/us 比无源 LC 滤波器与外加驱动的解决方案能提供更好的图像质量 它单电源供电范围为 +2.5V 到 +5.5V, 并有极低的工作电流 27.5mA, 非常适用于电池供电应用 的输入信号为 DAC 的输出,

More information

Microsoft PowerPoint - STU_EC_Ch07.ppt

Microsoft PowerPoint - STU_EC_Ch07.ppt 樹德科技大學資訊工程系 Chapter 7: Flip-Flops and Related Devices Shi-Huang Chen Fall 2010 1 Outline Latches Edge-Triggered Flip-Flops Master-Slave Flip-Flops Flip-Flop Operating Characteristics Flip-Flop Applications

More information

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典 特 性 低 功 耗 每 个 放 大 器 的 电 源 电 流 :.3 ma 高 速 -3 db 带 宽 :5 MHz (G = +) 压 摆 率 :6 V/μs.% 建 立 时 间 :8 ns 轨 到 轨 输 入 和 输 出 输 入 超 过 供 电 轨 mv 不 会 反 相 宽 电 源 电 压 范 围 :.7V 至 V 失 调 电 压 :6 mv( 最 大 值 ) 低 输 入 偏 置 电 流 +.7

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Microsoft Word - A_Daily20160329

Microsoft Word - A_Daily20160329 高 曉 慶, Stanley Kao 陳 漢 輝, Freddy Chan 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 每 日 資 訊 - Shenwan Hongyuan A-Share Daily Notes stanley.kao@swhyhk.com freddy.hf.chan@swhyhk.com 2016 年 3 月 29 日 星 期 二 (852)

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上 中 国 证 券 市 场 基 金 研 究 基 金 研 究 Fund Research 2011 年 3 月 7 日 近 3 月 基 金 仓 位 水 平 变 化 不 大 股 性 B 类 分 级 基 金 可 获 利 了 结 基 金 仓 位 监 测 与 市 场 周 报 (2.28-3.4) 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 基

More information

陳偉補習班環境介紹

陳偉補習班環境介紹 肆 各 专 业 科 目 可 报 考 学 校 一 览 表 选 考 : 经 济 学 ( 含 政 治 经 济 学 微 观 经 济 学 宏 观 经 济 学 ) 020201 国 民 经 济 学 8 北 京 光 华 管 理 学 020204 金 融 学 83 020205 产 业 经 济 学 4 清 华 经 济 管 理 学 020100 理 论 经 济 学 020200 应 用 经 济 学 6 020201

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

Microsoft Word - A_Daily20151103

Microsoft Word - A_Daily20151103 陳 鳳 珠, Ellie Chan 高 曉 慶, Stanley Kao 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 日 評 - Shenwan Hongyuan A-Share Daily Notes ellie.chan@swhyhk.com stanley.kao@swhyhk.com 2015 年 11 月 3 日 星 期 二 (852) 2509-8431

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM Oracle Solaris Studio 12.2 DLight 2010 9 2 2 3 DLight 3 3 6 13 CPU 16 18 21 I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AMP Apache MySQL

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63>

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63> FEATURES 特点 Multilayer monolithic construction yields high reliability 独石结构 高可靠性 Excellent solderability and heat resistance for either flow or reflow soldering 良好的可焊性和耐焊性 Substantial EMI suppression over

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

Microsoft Word - A_Daily20160229

Microsoft Word - A_Daily20160229 高 曉 慶, Stanley Kao 陳 漢 輝, Freddy Chan 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 每 日 資 訊 - Shenwan Hongyuan A-Share Daily Notes stanley.kao@swhyhk.com freddy.hf.chan@swhyhk.com 2016 年 2 月 29 日 星 期 一 (852)

More information

Chn 116 Neh.d.01.nis

Chn 116 Neh.d.01.nis 31 尼 希 米 书 尼 希 米 的 祷 告 以 下 是 哈 迦 利 亚 的 儿 子 尼 希 米 所 1 说 的 话 亚 达 薛 西 王 朝 二 十 年 基 斯 流 月 *, 我 住 在 京 城 书 珊 城 里 2 我 的 兄 弟 哈 拿 尼 和 其 他 一 些 人 从 犹 大 来 到 书 珊 城 我 向 他 们 打 听 那 些 劫 后 幸 存 的 犹 太 人 家 族 和 耶 路 撒 冷 的 情 形

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

ºÎÓ±

ºÎÓ± FT838D 5V2A 设计报告 Description Symbol Min Type Max Unit Comment Input Voltage Vin 90 / 264 Vac Input Frequency Fline 47 50/60 63 Hz No-load Input Power (@230Vac) Active Mode Efficiency Pst / / 150 mw η /

More information