STC单片机教学系统编程指导书_深大

Size: px
Start display at page:

Download "STC单片机教学系统编程指导书_深大"

Transcription

1 STC 单片机教学系统编程 指导书 V1.0

2 目录 1. 前言 1 2. 硬件电路介绍 2 3. 开发环境介绍 使用 KEIL C51 编译程序 程序烧写 7 4. 上机实验 数字输入输出实验 (3 学时 ) I/O 基础知识 电路原理分析 程序示例 : 跑马灯的简单实现 实验任务 中断系统 定时器 / 计数器 (3 学时 ) 单片机的中断 定时器中断系统 程序示例 : 定时器实现跑马灯 实验任务 模拟接口 A/D 实验 (3 学时 ) 模数转换原理 程序示例 :A/D 电压检测 实验任务 单片机综合实验 (3 学时 ) 总结 附录 中断寄存器 中断使能寄存器 中断优先寄存器 定时器 / 计数器中断控制寄存器 与 ADC 相关的寄存器 最小系统原理图 32

3 6.8 多功能板原理图 33

4 1. 前言 单片机是将运算核心 随机存储器 只读存储器 多种 I/O 端口 中断系统和定时器 / 计数器等功能模块集成到一块集成电路上构成的微型计算机系统, 由于其体积小 结构简单 可靠性高的优点, 被广泛应用于仪器仪表 家用电器 医用设备 航空航天 专用设备的智能化管理及过程控制等领域 因此单片机原理与接口技术课是一门应用性极强的基础课程, 是进一步学习其它控制类 电子类专业课程的前提和基础 在进行上机实验之前, 应当首先具备一定的模拟 数字电路基础理论知识, 掌握 C 语言程序设计的基本技巧, 而且对 51 内核单片机的性能和编程方式有初步认识 在实验过程中, 可以将本书与课程教材配合学习, 相互参考, 并通过认真完成每次实验的编程任务, 更快更好地掌握单片机应用知识和开发技能 本书安排的实验内容均针对以 STC IAP15W4K58S4 为核心的实验电路板进行设计 全书在内容上可分为 5 个部分, 第一部分介绍实验电路的基本特性和功能模块 ; 第二部分介绍程序开发环境的配置与程序烧写流程 ; 第三部分详细介绍了本学期 4 次实验课程的主要任务, 其中每次实验都首先从 51 内核的基本原理出发, 简单回顾相应的理论知识, 并附上简短的学习例程 完成实验任务时应尽可能独立思考, 并尝试在题目要求的基础上考虑程序流程更简单 执行效率更高的实现方法 指导书在附录中列出了部分可能用到的数据信息, 供编写程序时查阅参考 由于时间仓促和水平所限, 实验指导书中可能存在一些错误和不妥之处, 敬请批评指正 2017 年 11 月 1

5 2. 硬件电路介绍 请从此处打开! 图 2.1 实验箱外观 在进行上机实验之前, 请双手握住实验箱的把手 ( 上图红圈标示处 ), 分别向两边推拉 为避免锈蚀和染尘, 每次上机实验结束后, 应将电路板妥善放置在实验箱内, 关闭保护外壳并扣紧 实验电路板由 STC15W4K32S4 主控芯片和个外围电路模块构成, 各模块的位置分布见图 2.2, 主要功能模块包括 : 8 位红色共阴数码管 红外接收 发送端口 ADC 分压检测 RTC 实时时钟 (PCF8563) 中断 INT0 INT1 测试 外部 2.5V 参考电压源 (TL431 或 CD431) 行列式矩阵扫描按键 普通按键 自定义实验万能板 热敏电阻测温接口 (ADC) 2

6 使用 PWM 实现 DAC 输出端口 掉电检测 掉电唤醒测试此外, 实验电路板还提供以下数据通信接口和协议转换芯片 : 外部扩展 RAM 接口 LCD12864 接口 USB Micro-USB 接口 串口接口 RS232 电平转换芯片 红外发送测试 红外接收测试 掉电检测测试 ( 调节电压 ) 8 位数码管测试 自定义实验万能板 RS232 电平转换芯片 仿真主控芯片 USB 转串口芯片 ADC 通过热敏电阻测温 <RMB0.05> 串口 1 测试接口 串口 1 与串口 2 相互通讯开关 RTC 测试芯片 PCF8563 内部 PWM 做 DAC 并由自带的 ADC 来纠正误差 外部 2.5V 参考源 TL431/CD431 <RMB0.1> ADC 分压检测按键测试 串口 2 测试接口 行列式矩阵扫描按键测试 Micro-USB 接口 USB 接口 主控芯片电源开关下载测试代码时, 先点下载软件中的下载按钮, 再按下此电源键, 松开后即可进行程序下载 ( 按下给目标芯片断电, 松开后重新上电 ) LCD 亮度调节电阻 LCD12864 接口 INT0 测试接口可进行掉电唤醒测试 INT1 测试接口可进行掉电唤醒测试 外部扩展 RAM 测试接口 图 2.2 实验电路板布局图实验电路板上设有主控芯片电源开关, 该按钮被按下时主控芯片断电, 松开后芯片重新上电 因此, 在向实验电路板的主控芯片烧写程序时, 应当首先点击烧写软件中的下载按钮, 再按下主控芯片电源开关, 松开后即可开始程序烧写 3. 开发环境介绍 3.1 使用 Keil C51 编译程序 单片机开发通常使用 C 语言或汇编语言编写程序, 并使用编译器将代码编译生成单片机可执行的二进制代码, 再通过烧写软件将其写入单片机片内的 Flash 存储器, 由单片机重新上电后自动执行 3

7 Keil uvision4 是由美国 Keil Software 公司发布的单片机程序集成开发环境系列, 其中 Keil C51 可用于进行 51 内核单片机的 C 语言程序设计 Keil 提供丰富的库函数和功能强大的集成开发调试工具, 而且生成的目标代码效率高, 大部分语句生成的汇编代码十分紧凑, 容易理解 在开发大型软件时更能体现高级语言的优势 实验室的计算机已经安装了 Keil C51 集成开发环境, 下面介绍创建工程项目的操作步骤 第一步启动开发环境双击 Keil C51 程序的图标 ( 如图 3.1) 运行 Keil C51 程序 图 3.1 Keil C51 图标 图 3.2 Keil C51 主界面第二步新建项目使用 Keil 编写程序需要首先新建一个项目, 单击 Project New Project ( 如图 3.3), 在弹出的对话框中, 选择新项目存放的地方及文件名 4

8 图 3.3 项目 菜单 在弹出的目标设备选项框中, 选择 STC MCU Database, 找到 STC15W4K32S4( 见图 3.4), 弹出的对话框点击 是, 完成一个新项目的创建 图 3.4 目标设备选项框第三步修改项目属性通过菜单 Project Options for Target target1, 或者快捷键 Alt+F7, 打开项目属性对话框 在 Output 选项卡中, 勾选 Create HEX File 选项 ( 见图 3.5) 选中该项后,Keil C51 将在项目编译完成后自动生成.hex 格式的目标文件, 用于程序烧写, 点击 OK 按钮保存设置 5

9 图 3.5 输出选项设置页面 第四步添加程序文件 在主界面左侧的 project 侧边栏中, 对 Source Group 1 单击右键, 选择 Add New Item to Group Source Group 1 图 3.6 Source Group 右键菜单 6

10 在弹出的窗口中 ( 见图 3.7), 选择新建的文件类型, 并在下方的 Name 输入框中输入文件名 ( 不带文件名后缀 ), 点击 Add 按钮即可新建文件并添加到当前项目 图 3.7 文件新增窗口第五步编译当程序代码编写完成后, 需要将 C 语言程序代码编译为二进制代码 通过菜单 Project Build target, 或者单击工具栏上的按钮, 或者使用快捷键 F7, 即可执行编译命令 如果编译过程出错, 相应的错误信息会出现在下方的编译输出框 如果编译出错, 应当根据提示, 修改源文件, 然后再次尝试编译, 直至成功生成.hex 文件 编译成功后, 可将生成的.hex 文件通过烧写软件下载到单片机中 3.2 程序烧写 程序编译完成后, 需要借助专用的烧写程序, 通过串口通讯的方式, 按照一定读写时序向芯片发送清除 烧写 校验命令, 对单片机片上的 Flash 程序储存区进行烧写 下面介绍使用 STC-ISP(V6.86F) 进行程序烧写的具体步骤 在烧写程序前, 请使用 USB 数据线, 将实验电路板与个人电脑的 USB 端口相连 然后打开 stc-isp.exe 程序 ( 图标见图 3.8), 图 3.9 为程序运行后的界面 7

11 图 3.8 烧写程序图标 图 3.9 烧写程序界面第一步参数配置在程序左上角的单片机型号选项, 选择 IAP15W4K58S4, 并根据实际情况选择合适的通讯串口, 可使用 Windows 系统的设备管理器查看当前使用的端口号 设置程序运行 IRC 频率为单片机采用的工作晶振频率 MHz, 其它设置维持默认状态即可 第二步读取二级制代码文件点击位于程序左侧的中间位置的 打开程序文件 按钮, 在弹出的通用对话框中选择使用 Keil C51 编译生成的.hex 文件 文件打开后, 可以再右侧区域查看程序文件的二进制编码和代码长度 第三步程序烧写点击程序左下角的 下载 / 编程 按钮, 然后按下主控芯片电源开关 ( 见图 2.2), 松开后即可开始程序烧写, 烧写结束后将在右下方的文本框中显示 操作成功 的提示 如果程序无法正确烧写, 请按下列步骤进行检查 : (1) 重复复位上电操作, 适当延长主控芯片电源开关的按下时间 ; (2) 检查 USB 数据线两端是否正常连接到电脑和电路板 ; 8

12 (3) 检查烧写软件的各项设置是否正确 ( 晶振频率 串口通讯端口等 ); 如果执行经过以上排查过程后故障仍未消除, 请向助教反映或更换实验仪器 9

13 4. 上机实验 本学期将安排 4 次上机实验, 共计 12 学时 前 3 次实验分别围绕输入输出端口 中断与定时 / 计时器 数模 / 模数转换设计实验内容, 最后一次上机实验前应复习回顾相关的理论基础, 并仔细阅读指导书中的实验步骤和注意事项, 并根据实验要求编写程序 在完成实验任务时, 建议首先查看实验板电路图, 熟悉单片机引脚与外围电路器件之间的连接关系, 并在必要时翻阅数据手册上的寄存器信息 4.1 数字输入输出实验 (3 学时 ) I/O 基础知识 STC15 系列单片机可工作在弱上拉 ( 准双向口 ) 强上拉( 推挽输出 ) 高阻输入 ( 悬空 ) 开漏 4 种工作模式, 通过 PxM0 和 PxM1 两个控制位决定 ( 详细设置见表 4.1) 在上电复位后, 默认设置为弱上拉 ( 准双向口 ) 工作模式, 每个 I/O 引脚的驱动能力可达 20mA 表 4.1 STC15 系列单片机 I/O 工作模式设置 PxM1[7:0] PxM0[7:0] I/O 模式 0 0 准双向口 : 灌电流 20mA 拉电流 270μA 0 1 推挽输出 : 强上拉, 可达 20mA, 需加限流电阻 1 0 高阻状态 1 1 开漏输出 (OD): 内部上拉电阻断开, 需外加上拉电阻 下面简要介绍准双向口工作模式内部连接原理, 电路原理图见图 4.1 图 4.1 准双向口工作模式内部连接 准双向口 ( 弱上拉 ) 输出类型可同时用作输出和输入功能而无需重新配置, 10

14 这是因为当端口输出设为高电平时驱动能力很弱, 允许外部电路将其拉低 当引脚输出为低电平时, 驱动能力很强, 可吸收相当大的电流 准双向口有 3 个上拉晶体管适应不同的需要 在 3 个上拉晶体管中, 有 1 个上拉晶体管称为 弱上拉, 当端口寄存器为 1 且引脚本身也为 1 时打开 此上拉提供基本驱动电流使准双向口输出为 1 如果一个引脚输出为 1 而由外部装置下拉到低电平时, 弱上拉关闭而 极弱上拉 维持闭合状态, 为了把引脚强拉为低电平, 外部装置必须有足够的灌电流能力使引脚上的电压降到门槛电压以下 对于 5V 单片机, 弱上拉 晶体管的电流约 250uA; 对于 3.3V 单片机, 弱上拉 品体管的电流约 150uA 电路原理分析实验电路板上一共设置了 4 盏可供开发者自行控制的 LED 指示灯 ( 其余指示灯均用于各类功能模块的工作状态指示 ), 编号分别为 LED7~LED10, 与单片机引脚 P1.7 P1.6 P4.7 P4.6 相连, 连接关系见下图 图 4.2 实验电路板 LED 接线图在实验电路中 ( 引脚电路见图 4.2) 使用引脚直接驱动 LED 时, 可以设置为弱上拉模式, 当引脚输出低电平时,LED 经串联电阻分压后获得 1.7V 左右的压降, 指示灯亮起 需要注意的是, 实验板使用的 STC15 系列单片机引脚最高灌电流为 20mA, 与 STC89 系列单片机的 6mA 限值相比有了明显提升, 可以驱动较大的电流, 足够直接与二极管相连 实验板上还配有 3641AS 红色 4 位共阴数码管, 一共需要引出 16 组连线用于控制 8 位段码和 8 位位码 由于单片机引脚资源有限而且便于布线, 实验板使用了两片级联的 74HC595 芯片 (3 态 8 位串联输入并联输出移位寄存器 ), 其串联输入端与单片机 P4.0 相连, 并联输出端的高 8 位作为位码, 低 8 位作为段码, 11

15 连接关系图见下图 图 4.3 数码管电路原理图 程序示例 : 跑马灯的简单实现 本次实验主要涉及基本 I/O 口的输出功能, 示例程序使用 P0 口的 4 个端口控制实验板上的 4 个指示灯, 使之循环点亮 程序分析 : 根据电路原理图中 LED 灯与单片机引脚的连接关系, 可知 LED7 LED8 LED9 LED10 依次对应 P1.7 P1.6 P4.7 P4.6 为了实现四盏 LED 循环点亮, 程序需要在主循环中依次对上述 4 个引脚输出低电平, 点亮 LED, 待延时结束后输出高电平, 使灯光熄灭 程序代码 : #define MAIN_Fosc L // 定义主时钟 #include "STC15Fxxxx.H" void delay_ms(u16 ms); // 主函数 void main(void){ // 设置为准双向口 P0M1 = 0; P0M0 = 0; P1M1 = 0; P1M0 = 0; P2M1 = 0; P2M0 = 0; P3M1 = 0; P3M0 = 0; P4M1 = 0; P4M0 = 0; P5M1 = 0; P5M0 = 0; P6M1 = 0; P6M0 = 0; P7M1 = 0; P7M0 = 0; 12

16 while(1){ P17 = 0; delay_ms(500); P17 = 1; P16 = 0; delay_ms(500); P16 = 1; P47 = 0; delay_ms(500); P47 = 1; P46 = 0; delay_ms(500); } } P46 = 1; // 延时函数 void delay_ms(u16 ms){ } u16 i; do{ i = MAIN_Fosc / 13000; while(--i) ; }while(--ms); 实验任务 实验目的 : 1) 熟悉基于 Keil C 的单片机编程环境以及程序开发流程 ; 2) 了解基本 I/O 输入输出的硬件原理 ; 3) 学习掌握单片机的基本 I/O 编程技术 实验内容 : 1) 基本实验 1: 循环扫描实验板上 2 个按键的状态, 并在数码管上显示被按下按键的编号 ; 2) 基本实验 2: 循环检测按键的状态, 两个数码管显示按键按下的次数 初始状态数码管显示 00, 按键每按下一次显示数字加 1, 直到增加到 20 的时候, 当按键被再次按下时, 显示数字清零 ; 3) 基本实验 3: 循环检测按键 17 和 18 的状态, 两个数码管显示按键按下的次数 初始状态数码管显示 10, 按键 17 每按下一次显示数字减 1, 按键 18 13

17 每按下一次显示数字加 1 如果增加到 20 的时候又按下按键 18, 则显示数字 清零, 如果减小到 00 按下按键 17, 显示数字变为 中断系统 定时器 / 计数器 (3 学时 ) 单片机的中断 STC15W4K32S4 系列单片机提供了 21 个中断请求源, 详细列表见表 4.2, 其中常用的中断有外部中断 0(INT0) 定时器 0 中断 外部中断 1(INTl) 定时器 1 中断 串口 1 中断 AD 转换中断等 外部中断 0(INTO) 和外部中断 1(INT1) 既可上升沿触发, 又可下降沿触发 请求两个外部中断的标志位是位于寄存器 TCON 中的 IE0/TCON.1 和 IE1/TCON.3 当外部中断服务程序被响应后, 中断标志位 IEO 和 IE1 会自动被清 0 外部中断 0(INT0) 和外部中断 1(INT1) 还可以用于将单片机从掉电模式唤醒 表 4.2 中断触发表 中断源中断名称触发行为中断号 INT0 外部中断 0 (IT0=1): 下降沿 ;(IT0=0): 上升沿和下降沿均可 Timer0 定时器 0 中断溢出 1 INT1 外部中断 1 (IT0=1): 下降沿 ;(IT0=0): 上升沿和下降沿均可 Timer1 定时器 1 中断溢出 3 UART1 串口 1 中断发送或接收完成 4 ADC AD 转换中断 A/D 转换完成 5 LVD 低电压中断 电源电压下降到低于 LVD 检测电压 6 UART2 串口 2 发送或接收完成 8 SPI SPI 中断 数据传输完成 9 INT2 外部中断 2 下降沿 10 INT3 外部中断 3 下降沿 11 UART3 串口 3 中断发送或接收完成

18 UART4 串口 4 中断 发送或接收完成 18 Timer3 定时器 3 中断 溢出 19 Timer4 定时器 4 中断 溢出 20 Comparator 比较器中断 比较器比较结果由低变高或高变低 21 单片机每个中断源是否允许触发中断是由中断允许寄存器 IE 控制的, 该寄存器可按位寻址, 其格式可参见附录 IE 寄存器中的 EA 位是总中断使能控制位, 当 EA=1 时, 单片机内核开放中断 此外, 各中断源还受各自的中断允许控制位控制, 例如计时器 0 中断控制位为 ET0 因此在使用中断前, 应当将上述两种控制位都设为 定时器中断系统实验板使用的 STC15 系列单片机具有 T/C0 T/C1 T/C2 T/C3 T/C4 共 5 个 16 位定时 / 计数器, 其中每个定时器有模式 0- 模式 3 四种工作模式, 其中最常用的是工作模式 0 与 STC89 系列单片机相比,STC89 系列只有 3 个定时 / 计数器 而且 STC15 系列的工作模式 0 是 16 位自动重载模式, 而 STC89 系列是 13 位自动重载模式 下面分别介绍这四种工作模式 工作模式 0:16 位自动重载图 4.4 为 STC15 系列单片机 T/C0 在工作模式 0 下的逻辑电路图 当 GATE=0 (TMOD.3) 时, 只要 TR0=1, 定时器就开始计数 GATE=1 时, 允许从 INT0 引脚输入的外部信号作为 T/C0 的时钟脉冲, 使用此功能可实现脉冲宽度测量 当 C/T =0 时, 多路开关连接到系统时钟分频器输出,T/C0 对内部系统时钟计数,T/C0 作为定时器使用 当 C/T =1 时, 多路开关连接到外部脉冲输入 P3.4/T0, T/C0 作为计数器使用 STC15 系列单片机的定时器有 12T 模式和 1T 模式两种计数速率 在 12T 模式下, 定时器每 12 个时钟周期加 1, 与传统 8051 单片机相同 ;1T 模式下, 每个时钟周期加 1, 速度是传统 8051 单片机的 12 倍 T0 的速率由特殊功能寄存器 AUXR 中的 T0x12 决定, 如果 T0x12=0,T0 则工作在 12T 模式, 否则工作在 1T 模式 15

19 图 4.4 定时器 / 计数器 0 的模式 0 逻辑电路图 工作模式 1:16 位单次计数工作模式 1 与工作模式 0 的计数空间相同, 但在工作模式 1 下, 定时 / 计数器发生溢出后不会自动装载 计数空间由存放低 8 位的 TL 寄存器和存放高 8 位数据的 TH 寄存器组成 图 4.5 定时器 / 计数器 1 的模式 1 逻辑电路图 工作模式 2:8 位自动重载模式 2 的计数空间只使用了 TL 寄存器的 8 位, 因此每个循环周期最多只能计数 256 次 TH 寄存器作为预置数寄存器, 当溢出发生后, 单片机将 TH 寄存器中的预置数通过硬件电路存入 TL 寄存器 16

20 图 4.6 定时器 / 计数器 1 的模式 2 逻辑电路图 工作模式 3:16 位自动重载且不可屏蔽中断 ( 略 ) 程序示例 : 定时器实现跑马灯定时器中断实验 : 本次实验主要学习定时器中断程序的编写方法 示例程序采用定时器中断的方法, 实现跑马灯程序 程序分析 : 程序实现原理与实验 1 基本一致, 但延时改为使用定时器中断实现 通过配置令定时 / 计数器 0 工作在 0 模式 (16 位预置数自动重装 ), 当定时 / 计数的计数溢出发生时, 会暂时停止当前进程并转入中断程序 与此同时, 通过内部计数器通过硬件电路设置为预置数, 开始下一轮定时 / 计数 通过合理设置溢出周期的长度, 可实现 1 秒左右的延时 #define MAIN_Fosc L // 定义主时钟 #include "STC15Fxxxx.H" u8 num, count; // 主函数 void main(){ u16 TC0_Count; // 设置为准双向口 P1M1 = 0; P1M0 = 0; P4M1 = 0; P4M0 = 0; // 配置定时器 0 TMOD = 0x00; // 定时器 0 工作在 0 模式 :16 位自动重装 TCON = 0x10; // 打开定时器中断 0 AUXR &= ~0x80; // 打开 12 分频 TC0_Count = MAIN_Fosc / 12 / 100; // 溢出周期为 100Hz TH0 = (u8)((65536ul - TC0_Count) / 256); TL0 = (u8)((65536ul - TC0_Count) % 256); ET0 = 1; EA = 1; // T/C0 中断使能 // 中断总使能置位 17

21 } num = 0; count = 0; while(1); // 中断响应程序 void on_tc0_overflow(void) interrupt TIMER0_VECTOR{ if(count++ < 100) return; else count = 0; switch(num){ case 0: P46 = 1; P17 = 0; break; case 1: P17 = 1; P16 = 0; break; case 2: P16 = 1; P47 = 0; break; case 3: P47 = 1; P46 = 0; break; } } num = (num + 1) % 4; 实验任务 实验目的 : 1) 熟悉 Keil C 整体编程环境以及 MCU 程序开发流程 ; 2) 了解的中断系统 定时器 / 计数器工作原理 ; 3) 学习中断系统 定时器 / 计数器功能的编程技术 实验内容 : 1) 基本实验 1: 使用定时器产生 1 秒钟的时间间隔, 循环点亮 4 盏 LED 初始状态下 4 盏 LED 熄灭, 按下按键 17 后启动定时器,1 秒钟后第 1 盏 LED 点亮 ; 再过 1 秒钟, 前第 2 盏 LED 点亮 ; 以此类推, 第 4 秒全部熄灭, 重复上述过程 在启动定时器的状态下, 按下按键 18 定时器停止 ; 再次按下按键 17, 可重新启动定时器 2) 基本实验 2: 使用按键 17 来触发定时器, 按下按键 17 后定时器开始工作, 并由数码管实时显示定时器的溢出次数 溢出 10 次后, 定时器停止 按键 17 再次按下后, 重复上述过程, 当溢出 100 次后清零, 并重新开始计数 ; 3) 基本实验 3: 使用定时器产生循环周期为 1 秒钟的定时器中断, 使用中断控制 8 位数码管的显示内容 第 1 秒后, 左侧第一位数码管显示 1; 第 2 秒后, 左侧两位数码管分别显示 12; 第 3 秒后, 左侧三位数码管分别显示 123,, 以此类推, 第 8 秒后, 全部数码管熄灭, 重复上述过程 18

22 4.3 模拟接口 A/D 实验 (3 学时 ) 模数转换原理 STC15 系列单片机 ADC 是逐次比较型 ADC, 由多路选择开关 比较器 逐次比较寄存器 10 位 DAC 转换结果寄存器(ADC_RES 和 ADC_RESL) 以及 ADCCONTR 构成 AD 转换开始后, 单片机内部的 ADC 模块, 从最高位 (MSB) 开始, 按顺序对每一输入电压与内置 D/A 转换器输出进行逐次比较, 使转换所得的数字量逐次逼近输入模拟量对应值, 这种类型的 AD 转换器具有速度高, 功耗低的优点 图 4.7 是 STC15 系列单片机的 ADC 逻辑图, 从图中可以看出,ADC0-7 的模拟量输入通过模拟多路开关传送到比较器, 在比较器中将数 / 模转换器 (DAC) 提供的模拟量与输入的模拟量进行比较, 将比较结果保存到逐次比较寄存器作为转换结果 A/D 转换结束后, 最终的转换结果保存到结果奇存器 ADC_RES 和 ADC_RESL, 同时,ADC 控制奇存器 ADCCONTR 中的 A/D 转换结束标志位 ADC_FLAG 置位, 以供程序查询 图 4.7 STC15 系列单片机 ADC 逻辑电路 如果设置 ADRJ=0, 可以使用下面公式计算 10 位转换结果 : ADC_RES 7: 0,ADC_RESL 1: 如果设置 ADRJ=1, 可以使用下面公式计算 10 位转换结果 : 19

23 ADC_RESL 1: 0,ADC_RES 7: 式中, 为模拟输入通道的输入电压, 为单片机电源电压 ( 使用单片机电源电压作为模拟参考电压 ) 程序示例 :A/D 电压检测 下面给出使用单片机片上 ADC 模块测量工作电源电压 Vcc 的程序, 经实际测试, 输出数值约为 4.86, 电压读数随 USB 接口的松紧变化而上下浮动 程序分析 : 使用 ADC 通道 0 检测电源电压, 并采用查询法逐次查询 ADC 转换结果, 然后控制数码管最右侧三位显示电压数值 #define MAIN_Fosc L #include "STC15Fxxxx.H" #define DIS_DOT 0x20 #define DIS_BLACK 0x10 #define DIS_ 0x11 #define P1n_pure_input(bitn) #define LED_TYPE 0x00 P1M1 = (bitn), P1M0 &= ~(bitn) // 数码管类型, 0x00 共阴, 0xFF 共阳 #define Timer0_Reload (65536UL -(MAIN_Fosc / 1000)) //Timer 0 中断频率, 1000 次 / 秒 u8 code t_display[]={ 0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F,0x77,0x7C,0x39,0x5E,0x79,0x71, // 0-9 A-F 0x00,0x40,0x76,0x1E,0x70,0x38,0x37,0x5C,0x73,0x3E,0x78,0x3d,0x67,0x50,0x37,0x6e, // 全黑 - H J K L N o P U t G Q r M y 0xBF,0x86,0xDB,0xCF,0xE6,0xED,0xFD,0x87,0xFF,0xEF,0x46 // }; u8 code T_COM[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80}; // 位码 sbit P_HC595_SER = P4^0; //pin 14 SER data input sbit P_HC595_RCLK = P5^4; //pin 12 RCLk store (latch) clock sbit P_HC595_SRCLK = P4^3; //pin 11 SRCLK Shift data clock u8 LED8[8]; // 显示缓冲 u8 display_index; // 显示位索引 bit B_1ms; //1ms 标志 u8 msecond; u16 Get_ADC10bitResult(u8 channel); //channel = 0~7 void main(void){ u8 i; u16 j; 20

24 // 设置为准双向口 P0M1 = 0; P0M0 = 0; P1M1 = 0; P1M0 = 0; P2M1 = 0; P2M0 = 0; P3M1 = 0; P3M0 = 0; P4M1 = 0; P4M0 = 0; P5M1 = 0; P5M0 = 0; P6M1 = 0; P6M0 = 0; P7M1 = 0; P7M0 = 0; display_index = 0; P1ASF = 0; // 对内部基准做 ADC ADC_CONTR = 0xE0; //90T, ADC power on AUXR = 0x80; // 定时器 0 设为 : 不分频, 16 位自动重载 TH0 = (u8)(timer0_reload / 256); TL0 = (u8)(timer0_reload % 256); ET0 = 1; //Timer0 interrupt enable TR0 = 1; //Tiner0 run EA = 1; // 打开总中断 for(i=0; i<8; i++) LED8[i] = 0x10; // 上电消隐 while(1){ if(b_1ms){ //1ms 到 B_1ms = 0; if(++msecond >= 200){ //200ms 到 msecond = 0; for(j=0,i=0; i<16; i++) j += Get_ADC10bitResult(0); // 读内部基准 ADC, P1ASF=0, 读 0 通道 j = (u32)128000ul*16 / j; // ADC = 1024 * Uref / Ux, 则 Ux = 1024 * Uref / ADC = 1024 * 1.25 / ADC = 1280 / ADC 两位小数. } } } } LED8[5] = j / DIS_DOT; LED8[6] = (j % 100) / 10; LED8[7] = j % 10; // 显示 MCU 电压值, 计算时放大了 100 倍, 电压有 // 查询法读一次 ADC 结果. u16 Get_ADC10bitResult(u8 channel){ //channel = 0~7 ADC_RES = 0; ADC_RESL = 0; ADC_CONTR = (ADC_CONTR & 0xe0) 0x08 channel; NOP(4); // 启动 ADC } while((adc_contr & 0x10) == 0) ; // 等待 ADC 转换结束 ADC_CONTR &= ~0x10; // 清除 ADC 结束标志 return (((u16)adc_res << 2) (ADC_RESL & 3)); 21

25 void Send_595(u8 dat){ u8 i; for(i=0; i<8; i++){ dat <<= 1; P_HC595_SER = CY; P_HC595_SRCLK = 1; P_HC595_SRCLK = 0; } } void DisplayScan(void){ Send_595(~LED_TYPE ^ T_COM[display_index]); Send_595( LED_TYPE ^ t_display[led8[display_index]]); // 输出位码 // 输出段码 } P_HC595_RCLK = 1; P_HC595_RCLK = 0; // 锁存输出数据 if(++display_index >= 8) display_index = 0; //8 位结束回 0 void timer0 (void) interrupt TIMER0_VECTOR{ } DisplayScan(); //1ms 扫描显示一位 B_1ms = 1; //1ms 标志 实验任务 实验目的 : 1) 熟悉 Keil C 整体编程环境以及 MCU 程序开发流程 ; 2) 了解 A/D 模块的工作原理以及编程结构 ; 3) 学习 A/D 模块的编程技术 实验内容 : 1) 基本实验 1: 使用单片机内部的 A/D 转换功能实现 ADC 键盘, 键盘一共有 4 个有效按钮, 分别对应 4 盏 LED 当按下按钮 1 时, 切换第 1 盏 LED 灯的熄灭或亮起状态 ; 其他按钮的控制规律与此类似 ( 提示 : 可用 P1ASF = 0x10; 设置引脚 P1.4 为 ADC 模拟输入端口 ) 2) 基本实验 2: 设计程序实现 ADC 键盘, 键盘一共有 10 个有效按钮, 分别对应 0-9 共 10 个数字, 用户按下按键后, 数码管显示相应数字 3) 基本实验 3: 设计程序实现 ADC 键盘, 令数码管高四位与低四位作为两个独立计数器的显示器 初始状态下, 两个计数器都是 0, 数码管显示 , 22

26 按键 0 1 分别为高四位的加 减按键, 按键 2 3 分别为低四位的加 减按键 当计数器为 0 时, 减按键无效 4.4 单片机综合实验 (3 学时 ) 实验目的 : 1) 熟悉 Keil C51 编程开发环境和单片机程序的开发流程 ; 2) 考察综合运用单片机知识, 解决较复杂问题的能力 3) 自行完成设计性实验, 培养独立创新意识 实验内容 : 1) 反应测试游戏请使用 2 个按键 3 位数码管 1 盏 LED 指示灯, 实现反映测试游戏功能 游戏规则如下 : 设置 1 个准备按钮 1 个停止按钮 游戏开始时按住准备按钮, 等待一段时间后 ( 由程序随机确定 ), 点亮 LED 灯并立即开始计时,3 位数码管动态显示持续时间 用户应迅速释放被按下的准备按钮, 按下停止按钮 当停止键被按下时, 计数立即停止, 数码管中显示的数字保持不变作为反应时间 当准备按钮被再次按下时, 计时显示清零, 游戏重新开始 2) 乒乓球游戏请使用 4 个按键 2 位数码管 4 盏 LED 指示灯, 实现兵乓球游戏功能 4 个按键分别定义为 左击球 右击球 开始比赛 游戏重置 ; 亮起的 LED 表示乒乓球的位置, 数码管显示当前双方的比分 游戏规则如下 : 按下 1 个按键后, 游戏开始, 此时如果按动左击球键, 则最左边的 LED 亮起, 并且从左边开始不断向右边移动, 当最右侧的 LED 亮起时, 如果此时右击球键按下, 则 LED 从右边又不断向左边移动, 如果提前按下了 右击球 按钮, 或者 LED 灯到达左边之后还没按下 右击球 按钮, 则左边数码管加 1 同理如果左边出现了失误, 右侧数码管加 1 每局游戏结束后, 再次按下 开始比赛 键, 重新开始新一轮比赛, 但比分保持 在球打向右边的过程中, 左击球 键没有作用, 反之亦然 在游戏过程中如果按下了 游戏重置 键则游戏停止并且比分清零 有能力的同学可以考虑在每一次球被击打后, 小幅提高球的移动速度, 以增加游戏的对抗性和趣味性 23

27 3) 自行设计实验 要求使用中断 AD 转换和 I/O 引脚基本操作, 具有一定的趣味性并保证一定的实现难度 24

28 5. 总结 单片机原理实验课程是电子科学 测控技术及自动化等专业学生的重要实践课程, 也是培养动手能力 创新能力 适应能力 团队合作等综合能力的教学环节 在完成实验任务的过程中, 需要综合运用电路基础 模拟电子技术 数字电子技术 计算机软件应用技术的知识, 才能掌握单片机的各功能部件及控制方式 学习单片机原理和技术, 除了认识最基本的电路结构之外, 还应当主动了解单片机的用途与应用前景, 并熟练掌握单片机系统的设计与开发方法 25

29 6. 附录 6.1 中断寄存器 6.2 中断使能寄存器 26

30 27

31 6.4 中断优先寄存器 28

32 6.5 定时器 / 计数器中断控制寄存器 6.6 与 ADC 相关的寄存器 29

33 30

34 31

35 6.7 最小系统原理图 32

36 6.8 多功能板原理图 33

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

Visio-Default configuration and primary diagram_cn.vsd

Visio-Default configuration and primary diagram_cn.vsd 7VU68xxxxx0 Ux_L 760 > 闭锁快切 786 > 手动复归快切 I T_L VT_L J Ix_L N/ 767 > 进线 事故起快切 类 7667 > 进线 事故起快切 类 0 进线 事故起动信号 类进线 事故起动信号 类 Q N/ N/ N/ N/ 7948 快切成功 7949 快切失败 O O R 80 快切充电完成 O VT_ Ua_ Ub_ Uc_ O4 O5 opyright

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242 考试时间课程名称级人数考试地点 纺织工程 17 级 1 26 D-282 纺织工程 17 级 2 28 D-282 纺织工程 17 级 3 29 D-284 纺织工程 17 级 4 29 D-284 纺织工程 17 级 5 28 D-286 纺织工程 17 级 6 26 D-286 高分子材料与工程 17 级 1 31 C-142 非织造材料与工程 17 级 1 24 D-2108 纺织工程 17

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机 CCP/PCA/PWM 模块原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 CCP/PCA/PWM 结构 STC15 系列部分单片机内部集成了三路 CCP/PCA/PWM 模块, 如图 24.1 所示 注 : STC15W1K16S STC15W404S STC15W201S STC15F100W 系列单片机无 CCP/PCA/PWM

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

ATC MCU AC781x 开发板说明手册 Version 1.0.5( )

ATC MCU AC781x 开发板说明手册 Version 1.0.5( ) ATC MCU AC781x 开发板说明手册 Version 1.0.5(2018.11.12) 修订记录 2 修订版本日期作者描述 1.0 2018-12-04 AutoChips 初版 1.0 2019-03-05 AutoChips J-Link V6.44 及以上版本驱动支持 AC781x 全系列芯片 目录 开发板简介 3 开发环境准备工程配置仿真器及配置程序烧录下载 开发板简介 4 开发板功能图

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 22 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明, 联系富士通销售代表或富士通授权分销商

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

第一章

第一章 单片机原理 STC15F2K60S2 微机原理与单片机接口技术复习要点 第一章微型计算机概述 ( 了解概念 ) 1.1.2 微型计算机基本构成 ( 基本结构由哪些部分构成 ) 1.1.3 单片微型计算机简介 ( 什么是单片机, 单片机的结构及各个部分的功能, 常见的单片机有哪些 ) 1>. 微型计算机基本构成 : 微处理器, 存储器 (ROM RAM) 与输入输出接口 (I/O 接口 ) 及外部设备及连接他们的系统总线组成

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Microsoft Word - mcu-an z-11.doc

Microsoft Word - mcu-an z-11.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-11 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 外部中断 V1.1 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 2008-07-18 Levi.Zhang V1.1, 在第 6 章更多信息中添加 URL; 更新了一些样本程式

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500038-Z-10 F²MC-8FX 家族 8 位微型控制器 MB95200 系列 使用矩阵的键盘开发 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0 3/5/2009 Benjamin. Yang 初稿 本手册包含 19 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明,

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

01

01 Zebra Technologies 白皮书 移动打印给仓储运营带来显著优势 综述 RFID RFID (RF) RFID RFID / ROI LAN 采用移动打印机, 享受显而易见的业务成效 - 49.74 28.11 Zebra 2 Zebra Technologies 移动打印机成本效益分析 示例数据固定式打印机移动打印机每年节省资金 10 10 8 8 48 48 3840 3840 15

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

2

2 第六章.DC-DC 升压模块 1 2 3 4 5 程序通过 PB7 口产生 PWM 波控制 tps61165 工作, 完成 LED 串点亮以及电流控制, 可通过 LCD 开发板上的滚轮调节 PWM 波的占空比进而实现电流的调节 ; 通过 PE2 的 ADC 功能读取电压测量电路中的 OPA330 的输出电压, 并根据电路原理图计算出测量点的电压值, 显示在 LCD 上 ; 通过 PE3 的 ADC

More information

untitled

untitled www.cpucore.cn 51 Keil - 1 - V1.0 www.cpucore.cn 51 Keil - 2 - www.cpucore.cn 51 Keil - 3 - www.cpucore.cn 51 Keil - 4 - www.cpucore.cn 51 Keil - 5 - www.cpucore.cn 51 Keil - 6 - www.cpucore.cn 51 Keil

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

Microsoft Word - T12_T13_AD_PECÀý³Ì½éÉÜ.doc

Microsoft Word - T12_T13_AD_PECÀý³Ì½éÉÜ.doc 样例程序 : T12 + T13 + AD + PEC 1. 简介本程序实现功能如下 : 配置 CCU6 工作于三相输出模式 使用通道 0 比较匹配中断触发 T13 内部硬件直接实现 T13 工作于 Single shot 模式, 当周期中断时, 硬件触发 ADC (Injection mode) 转换完成以后, 使用 PEC 将存放于 ADC_DAT2 中的转换结果传送到用户指定的数组中 PEC

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information