15 CS2 Sns-I/O 电容检测端口 2 25 CS11 Sns-I/O 电容检测端口 CS3 Sns-I/O 电容检测端口 3 26 CS12 Sns-I/O 电容检测端口 CS4 Sns-I/O 电容检测端口 4 27 CS13 Sns-I/O 电容检测端口 13

Size: px
Start display at page:

Download "15 CS2 Sns-I/O 电容检测端口 2 25 CS11 Sns-I/O 电容检测端口 CS3 Sns-I/O 电容检测端口 3 26 CS12 Sns-I/O 电容检测端口 CS4 Sns-I/O 电容检测端口 4 27 CS13 Sns-I/O 电容检测端口 13"

Transcription

1 CSS120DE 数据表 具有 I 2 C 通讯接口的 20 通道电容触摸 IC 特性 宽电压工作范围 :2.7V 5.5V 支持 I 2 C 通讯接口 触摸电容检测范围 :5pf 50pF 优秀的检测性能, 支持最大 10mm 玻璃或 5mm 塑料覆盖物 自适应调整, 无需额外调整元件 极佳的抗干扰与防水能力, 稳定通过 EFT4KV,CS 及 RF 干扰测试 应用方向 白色家电及家用装置 封装类型 CSS120DE:SOP28 CSS120DE-S:SSOP28 CSS120DE-Q:QFN28 管脚描述 Table 1 SOP28/SSOP28 管脚描述 管脚号名称类型描述 VSS 1 1 VSS Ground 接地 2 RGL Ana-I/O 旁路滤波电容 3 CS14 Sns-I/O 电容检测端口 14 4 BPC Ana-I/O 灵敏度设置滤波电容 5 CS15 Sns-I/O 电容检测端口 15 6 CS16 Sns-I/O 电容检测端口 16 7 CS17 Sns-I/O 电容检测端口 17 RGL CS14 BPC CS15 CS16 CS17 CS18 CS19 IRQ# SCL SDA CS0 CS VDD CS13 CS12 CS11 CS10 CS9 CS8 CS7 CS6 N/C 8 CS18 Sns-I/O 电容检测端口 18 管脚号名称类型描述 9 CS19 Sns-I/O 电容检测端口 N/C Dig-I/O 必须浮空 10 IRQ# Dig-I/O 低电平有效中断 20 CS6 Sns-I/O 电容检测端口 6 11 SCL Dig-I/O I 2 C 时钟线 21 CS7 Sns-I/O 电容检测端口 7 12 SDA Dig-I/O I 2 C 数据线 22 CS8 Sns-I/O 电容检测端口 8 13 CS0 Sns-I/O 电容检测端口 0 23 CS9 Sns-I/O 电容检测端口 9 14 CS1 Sns-I/O 电容检测端口 1 24 CS10 Ana-I/O 电容检测端口 10 CS5 CS4 CS3 CS2 第 1 页共 18 页

2 15 CS2 Sns-I/O 电容检测端口 2 25 CS11 Sns-I/O 电容检测端口 CS3 Sns-I/O 电容检测端口 3 26 CS12 Sns-I/O 电容检测端口 CS4 Sns-I/O 电容检测端口 4 27 CS13 Sns-I/O 电容检测端口 CS5 Sns-I/O 电容检测端口 5 28 VDD Power 2.7V-5.5V 电源输入 Table 2 QFN28 管脚描述 管脚号名称类型描述 1 CS15 Sns-I/O 电容检测端口 15 2 CS16 Sns-I/O 电容检测端口 16 3 CS17 Sns-I/O 电容检测端口 17 4 CS18 Sns-I/O 电容检测端口 18 5 CS19 Sns-I/O 电容检测端口 19 6 IRQ# Dig-I/O 低电平有效中断 7 SCL Dig-I/O I 2 C 时钟线 CS15 CS16 CS17 CS18 CS19 IRQ# SCL BPC CS14 RGL VDD CS13 CS12 CS CS0 SDA QFN CS1 VSS CS2 CS3 CS4 8 SDA Dig-I/O I 2 C 数据线管脚号名称类型描述 9 CS0 Sns-I/O 电容检测端口 0 19 CS8 Sns-I/O 电容检测端口 8 10 CS1 Sns-I/O 电容检测端口 1 20 CS9 Sns-I/O 电容检测端口 9 11 VSS Ground 接地 21 CS10 Sns-I/O 电容检测端口 CS2 Sns-I/O 电容检测端口 2 22 CS11 Sns-I/O 电容检测端口 CS3 Sns-I/O 电容检测端口 3 23 CS12 Sns-I/O 电容检测端口 CS4 Sns-I/O 电容检测端口 4 24 CS13 Ana-I/O 电容检测端口 CS5 Sns-I/O 电容检测端口 5 25 VDD Power 2.7V-5.5V 电源输入 16 N/C Dig-I/O 必须浮空 26 RGL Ana-I/O 旁路滤波电容 17 CS6 Sns-I/O 电容检测端口 6 27 CS14 Sns-I/O 电容检测端口 CS7 Sns-I/O 电容检测端口 7 28 BPC Ana-I/O 灵敏度设置滤波电容 CS10 CS9 CS8 CS7 CS6 N/C CS5 功能描述 CSS120DE 具有 20 个电容检测通道, 并使用 I 2 C 做为通讯接口 必要的用户设置参数以及电容检测结果可以由 I 2 C 通道在 CSS120DE 和主控制器之间传输 每一电容检测通道可以被独立地打开或关闭, 没有被用到的电容检测通道在 PCB 上应当接地 当任一电容检测通道检测到有触摸或触摸离开时,CSS120DE 将会在 IRQ# 管脚上输出一个低有效中断, 此中断只能被用户清除 如果用户使用软件轮询查看电容检测结果, 则可以忽略这个中断 通道的开关由一个 3 字节的 ChannelEN 寄存器控制 芯片在上电时所有的电容检测通道会 被自动地设置成开启, 然而校准过程则会自动地将接地的电容检测通道设置为禁用, 用户也可以手工的将非接地检测通道设置为禁用 主控制器可以通过 I 2 C 接口读出电容按钮的开 / 关信息 ( 它储存在 3 字节寄存器 ButtonON 中 ) CSS120DE 的 I 2 C 模块工作在从模式, 其地址是 0x15 由于 I 2 C 从地址为 7 位, 故用户在进行 I 2 C 写操作时需将地址右移一位且低位补零, 即为 0x2A, 如用户做读操作, 则为 0x2B 当寄存器 ScanCR 的 ScanEN 位被写 1 时, 则开始扫描所有被使能的电容按钮 共有 4 种扫描模式 1) 校准模式将做几次扫描后自动调整参数以达到最佳工作状态 一旦校准结束就会设置 IRQ 并且 ScanEN 位回到 0 2) 标准模式将首先 第 2 页共 18 页

3 执行校准, 然后一直循环地扫描被使能的电容按钮, 一旦芯片检测到电容按钮状态发生变化就会设置 IRQ 在扫描过程中, 芯片会根据 ScanCR 寄存器 LP 位的设置来选择是否自动进入睡眠模式来降低功耗, 如果用户上位机是使用软件轮询方式查询扫描结果则不建议设置 LP 位 3) 单次扫描模式仅扫描一次所有被使能的电容按钮, 并且扫描结束后将设置 IRQ 4) 持续扫描模式为循环地扫描所有被使能的电容按钮, 一旦芯片检测到电容按钮状态发生变化就会设置 IRQ 注意只有当用户往寄存器 ScanCR 位 IRQ 中写 0 后 IRQ 标志才会被清除 不建议客户使用除标准模式以外的扫描模式 为了得到最低的功耗, 用户可以往 ScanCR 寄存器 PD 位中写 1 使芯片进入睡眠模式 在睡眠模式下所有活动的功能都被禁止, 也包括 I 2 C, 这样 CSS120DE 就无法响应 I 2 C 命令 管脚 IRQ# 被内置为上拉且此时做为输入管脚, 用来唤醒系统 用户可以使用一个低电平脉冲 ( 至少 100us 宽度 ) 来唤醒系统 一旦系统被唤醒后位 PD 将会自动清零 参数 设置和检测结果所有电容检测通道具有相同的模拟前端处理结构 某些全局参数将用于所有的检测通道 Table 3 检测参数及结果 变量名称全局变量? 描述 ButtonON Yes 触摸检测结果寄存器 1: 有触摸,0: 无触摸 它不是参数而是检 测结果 LP Yes 低功耗模式 1: 使能, 在一定时间内没有检测到触摸时, 芯片会自 动周期性进入睡眠模式以降低功耗, 注意芯片在睡眠模式下可能会 无法及时响应 I 2 C 命令, 如果用户上位机是使用软件轮询方式查询扫 描结果则不建议设置 LP 位 ;0: 禁用, 芯片会一直保持工作并及时响 应 I 2 C 命令 MultiOn Yes 在多个感应通道同时被触摸时,CSS120DE 缺省输出触摸最强的感应 通道, 其设置为 0 如用户希望能同时输出多个感应通道检测结果, 则需要设置此位为 1 GSense Yes 全局检测灵敏度设置, 共 256 级 0( 最高 ) 到 255( 最低 ) LSense No 每一个检测通道的灵敏度设置, 共 255 级 1( 最高 ) 到 255( 最低 ); 如 LSense 为 0, 则该检测通道使用 GSense 的设置来确定灵敏度 WPED No 背景扫描选择寄存器组, 每一检测通道使用三字节寄存器中 的 [19:0] 位, 控制其他检测通道是否参与当前检测通道的背景扫描 通常情况下最多不超过 8 个其他通道可以参与到背景扫描中 1: 参 与背景扫描,0: 不参与 用户通过这个寄存器可以将线路板上相邻 的扫描通道加入到背景扫描中去以实现防水功能 ScanEN Yes 写 1 将会开始扫描所有被使能的电容通道 ; 写 0 停止扫描 IRQ Yes 向此位写 0 将清除 IRQ 标志, 其他操作无效 ScanMode Yes 扫描模式选择, 扫描模式的变化只能在 ScanEN=0 时进行 不建议客 见下页 户使用除标准模式外的其他模式 数值模式数值模式 00b 标准模式 01b 单次扫描模式 10b 持续扫描模式 11b 校准模式 第 3 页共 18 页

4 Table 3 检测参数及结果 ( 续 ) 变量名称 全局变量 描述 Signal No 每一个电容通道的 16 位触摸信号值, 只有当信号值超出触摸阈值后才为有效触摸 Debounce Yes 它是 8 位防抖动设置 缺省值是 3, 用户可以修改 WPR Yes 写保护设置, 写入 3A 将会放开写保护, 允许 I 2 C 在之后对寄存器进行写操作 如任何两次写操作间隔超过 0.15s, 写保护将会自动生效 用户参数调试与设置流程 CSS120DE 对用户参数提供了两种设置方法 : 1. 芯片内寄存器设置, 用户可以将所有设置参数存储于上位机的 ROM 中, 在上电后通过 I 2 C 将参数设置进芯片中 2. 芯片内 ROM 设置, 用户可以通过 I 2 C 将绝大部分参数先传输到芯片寄存器中, 然后设置 ScanCR 寄存器的 UPROM 位将这些参数写入芯片内部 ROM 中, 之后每次上电后芯片将会自动加载这些设置参数 这些可存储到 ROM 里的参数是 Lsense 和 WPED 用户可以通过读取这些设置参数来判断是否已从 ROM 中正确加载了参数 例如用户可以读取某一个 LSense 寄存器数据, 如果参数已被正确加载, 那么这个 LSense 就不会为 0 芯片内 ROM 参数写入的流程 : 1. 用户首先设定好 LSense 和 WPED 寄存器参数 ; 2. 然后往 ScanCR 寄存器写 10H, 将以上参数写入芯片内的 ROM, 这一过程约需要 20ms, 且芯片在这期间不再响应新的 I 2 C 命令 ; 3. 20ms 后, 用户可以读取 ScanCR 寄存器, 如 UPROM 位为 00 则表示写入成功,10 则表示写入出错 I 2 C 命令格式主设备通过 I 2 C 接口读写 CSS120DE 就像是操作时, 首先它要寻址 I 2 C 从设备同时设置 I 2 C 数读写存储器 存储器 ( 或寄存器 ) 地址由一据传输方向为读, 之后 I 2 C 从设备开始从之前设个写操作决定而不管是否随后有数据要写入 置好的存储器开始地址输出数据到 I 2 C 总线 和当 I 2 C 主设备写操作时, 首先它要寻址 I 2 C 从设备写操作一样开始地址不会被读操作改变 同时设置 I 2 C 数据传输方向为写, 然后传输 1 字节的寄存器地址做为开始地址, 再之后的数据将依当读或写操作到达 8 位寄存器地址的边沿次写入从开始地址开始的存储器中 注意这些数 ( 也就是 255), 读写地址不会回转 超过边沿据写操作并不会改变开始地址, 这意味着此开始的写操作将被忽略 超过边沿的读操作将输出地址可以用于之后的读操作中 当 I 2 C 主设备读全 1 到 I 2 C 总线 第 4 页共 18 页

5 START STOP A6 A5 A4 A3 A2 A1 A0 W I2C Slave Address ACK Register Address ACK Write Data 0 (Reg Address +0) ACK Other Data (Reg Address + n) START STOP A6 A5 A4 A3 A2 A1 A0 R I2C Slave Address ACK Read Data 0 (Reg Address) ACK Read Data 1 (Reg Address + 1) ACK Other Data (Reg Address + n) START STOP A6 A5 A4 A3 A2 A1 A0 W I2C Slave Address ACK Register Address ACK Figure 1 I 2 C 命令格式 中断操作波形示意图 当一个感应通道检测到其状态和前一状态不同时, 例如触摸按下和离开时,CSS120DE 将会在 IRQ# 管脚上产生一个低有效中断, 此中断只能被用户清除 用户必须在中断服务程序里通过写 0 到 IRQ 位显式清除这个中断以避免重复进入 用户也可以忽略这一中断而采用上位机软件轮询方式查询感应通道的检测状态 Touch Detected IRQ# PIN 用户写 IRQ 位清除 用户写 IRQ 位清除 ButtonON Bit Write IRQ Bit 第 5 页共 18 页

6 寄存器定义 Table 4 寄存器分配 A B C D E F 10 ScanCfg DeBounce ChannelEN GSense ScanCR 20 ButtonON CHKSUM 40 Signal[0:7] 50 Signal[8:15] 60 Signal[16:19] 70 WPED0 WPED1 WPED2 WPED3 WPED4 WPED5 80 WPED5 WPED6 WPED7 WPED8 WPED9 WPED10 90 WPED10 WPED11 WPED12 WPED13 WPED14 WPED15 A0 WPED16 WPED17 WPED18 WPED19 WPR B0 C0 E0 LSense[0:15] F0 LSense[16:19] 注 : 除指定寄存器外, 用户不得对其他寄存器空间的地址进行写操作 Reg: 12H RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 ScanCfg Reserved MultiOn DNPR NPRR[2:0] 7:5 Reserved 必须写 0 4 MultiOn 使能多个感应通道同时输出触摸结果 1: 使能 ;0: 禁用, 只输出最强触摸感应通道 3 DNPR 禁止噪声保护, 如禁止使用噪声保护, 则任何超出触摸信号阈值的检测结果都会被采用 1: 禁止 ;0: 使用 2:0 NPRR 噪声保护门限比例倍数, 如果检测信号超出触摸信号阈值的 NPRR 倍, 则忽略此次检测结果 0:4x 阈值 ;1:6x 阈值... 7: 18x 阈值 客户在做灵敏度调整测试时建议先设置 DNPR 为 1, 暂时关闭噪声保护, 避免灵敏度设置过高 误触发噪声保护 第 6 页共 18 页

7 Reg: 13H RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 1 RW 1 DeBounce DeBounce 7:0 DeBounce 防抖动参数, 缺省为 3 Reg: ChannelEN@14H 14H RW 1 RW 1 RW 1 RW 1 RW 1 RW 1 RW 1 RW 1 ChannelEN ChannelEN[7:0] 7:0 ChannelEN[7:0] ChannelEN[7:0] 使能控制, 缺省为 1, 如检测通道接地, 则为 0 1: 使能电容检测通道 ;0: 禁用 Reg: ChannelEN@15H 15H RW 1 RW 1 RW 1 RW 1 RW 1 RW 1 RW 1 RW 1 ChannelEN ChannelEN[15:8] 7:0 ChannelEN[15:8] ChannelEN[15:8] 使能控制, 缺省为 1, 如检测通道接地, 则为 0 1: 使能电容检测通道 ;0: 禁用 Reg: ChannelEN@16H 16H RW 0 RW 0 RW 0 RW 0 RW 1 RW 1 RW 1 RW 1 ChannelEN Reserved ChannelEN[19:16] 7:4 Reserved 必须写 0 3:0 ChannelEN[19:16] ChannelEN[19:16] 使能控制, 缺省为 1, 如检测通道接地, 则为 0 1: 使能电容检测通道 ;0: 禁用 第 7 页共 18 页

8 Reg: 1EH RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 GSense GSense[7:0] 7:0 GSense[7:0] 全局灵敏度设置, 共 256 级,0 最高, 缺省为 0 过高或过低的灵敏度设置都可能导致触摸检测失败 过低灵敏度的设置表现为用户触摸无响应 或需大力增大触摸面积才有响应, 过高灵敏度的设置表现为用户轻触或接近时有响应, 但正常触摸 时反而没响应, 这是因为误触发了噪声保护功能 Reg: ScanCR@1FH 1FH RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 ScanCR PD LP UPROM ScanMode IRQ ScanEN 7 PD 写 1 进入睡眠模式, 进入睡眠模式之前必须禁用芯片扫描, 即置 ScanEN 为 0 6 LP 低功耗模式 1: 使能, 在一定时间内没有检测到触摸时, 芯片会自动周期性进入睡眠模式以降低功耗, 注意芯片在睡眠模式下可能会无法及时响应 I 2 C 命令, 如果用户上位机是使用软件轮询方式查询扫描结果则不建议设置 LP 位 ;0: 禁用, 芯片会一直保持工作并及时响应 I 2 C 命令 5:4 UPROM 芯片内 ROM 写入控制,00: 写入正确或未发出过写入操作,01: 启动芯片内 ROM 写入操作,10: 写入出错,11:N/A 3:2 ScanMode 扫描模式 00: 标准模式 ;01: 单次模式 ;10: 持续扫描模式 ;11: 校准模式 1 IRQ 写 0 将清除 IRQ 标志 0 ScanEN 芯片扫描使能 1: 使能电容检测扫描 ;0: 禁用 Reg: ButtonON@22H 22H R 0 R 0 R 0 R 0 R 0 R 0 R 0 R 0 ButtonON ButtonON[7:0] 7:0 ButtonON[7:0] Channel[7:0] 的检测状态 1: 有触摸 ;0: 无触摸 第 8 页共 18 页

9 Reg: 23H R 0 R 0 R 0 R 0 R 0 R 0 R 0 R 0 ButtonON ButtonON[15:8] 7:0 ButtonON[15:8] Channel[15:8] 的检测状态 1: 有触摸 ;0: 无触摸 Reg: ButtonON@24H 24H R 0 R 0 R 0 R 0 R 0 R 0 R 0 R 0 ButtonON Reserved ButtonON[19:16] 7:4 Reserved 必须写 0 3:0 ButtonON[19:16] Channel[19:16] 的检测状态 1: 有触摸 ;0: 无触摸 Reg: CHKSUM@25H 25H R 0 R 0 R 0 R 0 R 0 R 0 R 0 R 0 CHKSUM CHKSUM[7:0] 7:0 CHKSUM[7:0] ButtonON 的校验和 如果 CHKSUM[7:0]+ButtonON[7:0]+ButtonON[15:8]+ ButtonON[19:16]+0xC5=0x00, 则校验和正确 Reg: Signal[0]@40H 40H R 0 R 0 R 0 R 0 R 0 R 0 R 0 R 0 Signal[0] Signal[0][7:0] 7:0 Signal[0][7:0] 第 0 个电容通道信号值的低八位 第 9 页共 18 页

10 Reg: 41H R 0 R 0 R 0 R 0 R 0 R 0 R 0 R 0 Signal[0] Signal[0][15:8] 7:0 Signal[0][15:8] 第 0 个电容通道信号值的高八位 其余电容通道信号值依次存储在 42H-67H Reg: WPED0@70H 70H RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 WPED0 WPED0[7:0] 7:0 WPED0[7:0] 检测通道 7 到 0 是否参与检测通道 0 的背景扫描,1: 参与背景扫描, 0: 不参与 在防水要求苛刻的环境中, 建议用户将机构上相邻的检测通道加入其背景扫描中, 以提升防水性能 例如, 从实际机构的触摸按键布局来看, 检测通道 0 的相邻通道是 3,5,7,11, 则用户可以设置 WPED0[23:0]=0x0008A8 检测通道本身不能参与自己的背景扫描, 例如检测通道 0 的背景扫描设置需要设置位 0 为 0, 即不参与自己的背景扫描 Reg: WPED0@71H 71H RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 WPED0 WPED0[15:8] 7:0 WPED0[15:8] 检测通道 15 到 8 是否参与检测通道 0 的背景扫描,1: 参与背景扫描, 0: 不参与 Reg: WPED0@72H 72H RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 WPED0 Reserved WPED0[19:16] 第 10 页共 18 页

11 7:4 Reserved 必须写 0 3:0 WPED0[19:16] 检测通道 19 到 16 是否参与检测通道 0 的背景扫描,1: 参与背景扫描,0: 不参与 其余寄存器值依次存储在 73H-ABH Reg: WPR@AFH AFH W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 WPR Write Protection 7:0 WPR 写入 3A 将会放开写保护, 允许 I 2 C 在之后对寄存器进行写操作 如任何两次写操作间隔超过 0.15s, 写保护将会自动生效 Reg: LSense[0]@E0H E0H RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 RW 0 LSense[0] LSense[0] 7:0 LSense[0] 检测通道 0 灵敏度设置, 1-255, 1 最高 缺省为 0, 即统一使用 GSense 灵敏度设置 其余检测通道的灵敏度设置依次存储于 E1H-F3H 第 11 页共 18 页

12 典型应用线路 芯片使用参考设置 // 初始化设置 I2Cm_Write_Data(0xAF, 0x3A); // 打开写保护 I2Cm_Write_Data(0x12, 0x10); // 设置允许多个 button 被同时触发 I2Cm_Write_Data(0x1E, 0x80); // 设置全局灵敏度为 128 I2Cm_Write_Data(0x1F, 0x01); // 开启正常模式扫描且不进入 LP 模式 //IRQ 处理 // 读取所有 Button 的状态 Button=I2Cm_Read_Data(0x22); // 读取感应通道 0-7 的状态寄存器用于判断其触摸状态 CRStatus = I2Cm_Read_Data(0x1F); CRStatus &= (~0x02); // 清除 IRQ 位 I2Cm_Write_Data(0xAF, 0x3A); // 打开写保护 I2Cm_Write_Data(0x1F, CRStatus); // 写回控制寄存器 生效清除 IRQ // 客户可以根据 Button 的信息读取相关感应通道的 Signal 值并对数据进行进一步处理 第 12 页共 18 页

13 PCB 设计规范 对于典型的双层板 顶层用来放置感应端子和地 底层用来放置 CSS120DE 其他元件和布线 将 CSS120DE 放在感应端子中央以便于使得它们之间的距离最小且均匀, 这样寄生电容也是均匀的 使走线长度保持尽可能的短, 并且控制走线的宽度, 使寄生电容最小, 从而得到最大的动态响应 不要在不相关的感应端子下走线 不要让感应端子走线靠近其它信号线, 最好使用地线保护触摸按钮走线 如这个 走线要求实在无法完成, 那么对于并行走线的信号线之间至少要保持 5 倍线宽间距, 且并行走线的长度不能超过走线总长度的 30% 如用户需要进一步提升抗 RF 干扰性能, 则可以在电容感应端子与芯片引腿之间插入一个 330ohms 到 4Kohms 之间的滤波电阻 期望按钮的感应端子形状是圆形或方形 4.7nF 的灵敏度设置滤波电容建议使用 X7R 或 C0G/NPO 电容以降低噪声 更多详细 PCB 应用参考设计请参考 海栎创电容触摸芯片应用参考设计 文档 第 13 页共 18 页

14 电参数规格说明 绝对最大等级 电源电压 2.7V 5.5V 相对于地电平的输出摆幅 -0.6V VDD+0.6V 储存温度 -40 C 100 C 工作时环境温度 -20 C 85 C 管脚的静电防护水平 4000V(HBM) 直流特性 直流电特性 电源电压 :2.7V 5.5V; 环境温度 :-20 C 85 C 符号 描述 最小值 典型值 最大值 单位 条件 Vdd 电源电压 伏 IVDD 电源电流 2 毫安 VDD=5.0v, 30 次 / 秒扫描速度 Rpu3 IRQ#/SDA/SCL 内部上拉电阻 千欧姆 VDD=3v Rpu5 IRQ#/SDA/SCL 内部上拉电阻 千欧姆 VDD=5v 交流特性 交流电特性 电源电压 :2.7V 5.5V; 环境温度 :-20 C 85 C 符号 描述 最小值 典型值 最大值 单位 条件 伏毫安 第 14 页共 18 页

15 封装外形尺寸 Figure 2 SOP28 封装外形 Table 26 SOP28 外形尺寸 标识 尺寸 ( 毫米 ) 尺寸 ( 毫米 ) 标识最小最大最小最大 A C A D A D A TYP D TYP B R TYP B R TYP C θ1 12 TYP C θ2 12 TYP C θ3 0 ~ 8 C 第 15 页共 18 页

16 Figure 3 QFN28 封装外形 第 16 页共 18 页

17 Figure 4 SSOP28 封装外形 第 17 页共 18 页

18 版本修订 版本 历史 1.1 修正封装信息为 0.4mm pitch QFN28L4x4 封装 1.0 初始版本 第 18 页共 18 页

Table 2 QFN20 管脚描述 管脚号 名称 类型 描述 1 CS11 Ana-I/O 电容检测端口 11 2 NC Sns-I/O 必须浮空 3 IRQ# Dig-I/O 低电平有效中断 4 SCL Dig-I/O I 2 C 时钟线 5 SDA Dig-I/O I 2 C 数据线 6 CS

Table 2 QFN20 管脚描述 管脚号 名称 类型 描述 1 CS11 Ana-I/O 电容检测端口 11 2 NC Sns-I/O 必须浮空 3 IRQ# Dig-I/O 低电平有效中断 4 SCL Dig-I/O I 2 C 时钟线 5 SDA Dig-I/O I 2 C 数据线 6 CS CSS112FE 数据表 具有 I 2 C 通讯接口支持高精度滑条的 12 通道电容触摸 IC 特性 宽电压工作范围 :2.7V 5.5V 支持 I 2 C 通讯接口与低引脚数滑条功能 触摸电容检测范围 :5pf 50pF 优秀的检测性能, 支持最大 10mm 玻璃或 5mm 塑料覆盖物 自适应调整, 无需额外调整元件 极佳的抗干扰与防水能力, 稳定通过 EFT4KV,CS 及 RF 干扰测试 应用方向

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 ------------------------------------------------------------------------------------------------------------------------------------

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

● 4个电容式触摸感应按键

●  4个电容式触摸感应按键 8 个触摸感应按键 工作电压 :2.5V~5.5V 待机电流 :2.0uA (VDD=3V 无负载 ) 提供一对一直接对应输出 内嵌 LDO 稳压, 抗电源波动干扰能力强 环境温度湿度变化自动适应功能 两种输出类型 :CMOS 输出或 OD 输出 按键最大有效输出时间选择 : 通过 Option 选择 60 秒或无穷大 多重按键消除功能 : 通过 Option 选择单键模式或多键模式 上电 0.5

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 5 6 7 38 ( ) VS 3 100 ( ) MM MM ! 1 2003 VS . MM MM MM MM MM MM MM CS MM CS MM MM ! ? % Y O [ ] Y O [ ] [ ] [ ] MM MM

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

Microsoft Word - CT8224

Microsoft Word - CT8224 CHINAIC SEMICONDUCTOR CORP., LTD. 4 键触摸检测 IC 版本更新时间 更新说明 描述 V1.3 2015-6-15 修改应用电路重要说明 ( 选项脚悬空问题 ) 4 键触摸检测 IC V1.4 2015-11-19 SOP8-3KEY 电路有更新 V1.5 2018-5-10 SOP8-2KEY 电路有更新 www.csc-ic.com 1 2018-5-10 V1.5

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

关于规范区委、区委办公室发文

关于规范区委、区委办公室发文 浦 东 情 况 通 报 第 13 期 中 共 浦 东 新 区 区 委 办 公 室 2015 年 6 月 8 日 沈 晓 明 同 志 在 全 区 党 员 领 导 干 部 三 严 三 实 专 题 教 育 党 课 上 的 讲 话 (2015 年 5 月 15 日 ) 前 不 久, 中 央 下 发 了 在 县 处 级 以 上 领 导 干 部 中 开 展 三 严 三 实 专 题 教 育 的 方 案, 刘 云

More information

Microsoft Word - ??山

Microsoft Word - ??山 没 药 山 要 宣 告 耶 和 华 的 名, 你 们 要 将 大 德 归 于 我 们 的 神! 你 当 追 想 上 古 之 日, 思 念 历 代 之 年 问 你 的 父 亲, 他 必 指 示 你 ; 问 你 的 长 者, 他 必 告 诉 你 ( 申 32 3 7) 凡 是 真 实 的, 可 敬 的, 公 义 的, 清 洁 的, 可 爱 的, 有 美 名 的 ; 若 有 什 么 德 行, 若 有 什

More information

Microsoft Word - 助理人員教育訓練-會計室.docx

Microsoft Word - 助理人員教育訓練-會計室.docx 壹 報 帳 流 程 區 分 為 以 下 三 種 流 程 : 請 購 單 流 程 請 款 單 流 程 借 款 核 銷 流 程 一 請 購 單 流 程 1 二 請 款 單 流 程 1 3 NO YES 10 20 2 3 三 借 款 核 銷 流 程 貳 憑 證 的 種 類 及 內 容 一 統 一 發 票 1. 三 聯 式 統 一 發 票 (1) 買 受 人 : 務 必 請 廠 商 填 上 輔 仁 大 學

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

××××股份有限公司季度报告

××××股份有限公司季度报告 2006 1 2006 1.1 1.2 1.3 1.4 1.5 2 2.1 001896 6 6 0371-67984649 0371-67984649 0371-67984647 0371-67984647 Lijf@yuneng.com.cn LiuQun@yuneng.com.cn 2.2 2.2.1 1 2006 % 1,237,542,001.22 1,165,520,973.59 6.18

More information

,443,189, ,838,154, % 1,909,639, ,772,976, % % % 704, ,339,86

,443,189, ,838,154, % 1,909,639, ,772,976, % % % 704, ,339,86 2006 2006 1 1.1 1.2 1.3 1.4 1.5 2 2.1 G 000876 _ 1 4 1 4 02886666298 02882870869 02886673344 02886673344 dongshiban@newhope.sina.net dongshiban@newhope.sina.net 2006 2.2 2.2.1 4,443,189,724.88 3,838,154,420.54

More information

,656, ,003, % 341,906, ,125, % % % 770, ,205,

,656, ,003, % 341,906, ,125, % % % 770, ,205, 2006 2006 1 1.1 1.2 1.3 1.4 1.5 2 2.1 G 000695 27 022 66202230 022 66202232 st695@eyou.com 2006 2.2 2.2.1 908,656,291.38 800,003,311.41 13.58% 341,906,338.52 337,125,986.00 1.42% 1.54 1.52 1.32% 1.54 1.51

More information

厦门创兴科技股份有限公司

厦门创兴科技股份有限公司 600129 2005 2005 4 2005 1... 3 2... 3 3... 5 4... 9 2 2005 1 1.1 1.2 1.3 1.4 2 2.1 600129 ( ) 1 023-72800072 023-89886129 023-72800072 023-89886129 xiaxue600129@sina.com 2.2 2.2.1 : () 4,971,498,079.29

More information

3. 构造和动作原理 3.1 概要 主要元件有 开关机构 自动脱扣装置 带手动脱扣 按钮 触点 消弧装置 接线端子及塑壳 消弧装置 三菱的MCCB以栅极空隙 形状与 材料的最佳组合获得超群的消弧性 能 塑壳 上盖 磁束 塑壳 底座 栅极 电弧 磁力 消弧 触点 脱扣按钮 按下脱扣 可进行外部机械式脱扣 用于确认 附件开关和手动复位功能的动作 开关机构 触点快速开关 开关速度与操纵柄 的移动速度无关

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

柳州化工股份有限公司

柳州化工股份有限公司 柳 州 化 工 股 份 有 限 公 司 2013 年 度 内 部 控 制 自 我 评 价 报 告 柳 州 化 工 股 份 有 限 公 司 全 体 股 东 : 根 据 企 业 内 部 控 制 基 本 规 范 及 其 配 套 指 引 的 规 定 和 其 他 内 部 控 制 监 管 要 求 ( 以 下 简 称 企 业 内 部 控 制 规 范 体 系 ), 结 合 本 公 司 ( 以 下 简 称 公 司 )

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

政府服務品質獎 服務規劃機關 參獎申請書

政府服務品質獎 服務規劃機關 參獎申請書 建 構 托 育 管 理 制 度 實 施 計 畫 (104 年 -107 年 ) ( 核 定 本 ) 104 年 5 月 7 日 目 錄 壹 計 畫 緣 起 1 一 依 據. 1 二 未 來 環 境 預 測. 2 三 問 題 評 析. 6 貳 計 畫 目 標.. 9 一 目 標 說 明. 9 二 達 成 目 標 之 限 制.. 11 三 預 期 績 效 指 標 及 評 估 基 準. 12 參 現 行

More information

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力,

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力, 臺 北 市 議 會 公 報 書 面 質 詢 及 答 覆 第 10 屆 第 8 次 定 期 大 會 (99.7.12 至 99.9.29) 議 員 書 面 質 詢 全 文 (7) 141 質 詢 日 期 : 中 華 民 國 99 年 8 月 23 日 質 詢 議 員 : 黃 向 羣 質 詢 對 象 : 臺 北 市 交 通 管 制 工 程 處 臺 北 市 新 建 工 程 處 質 詢 題 目 : 新 生

More information

Microsoft Word - CT8228

Microsoft Word - CT8228 CHINAIC SEMICONDUCTOR CORP., LTD. 8 键触摸检测 IC 版本 更新时间 更新说明 描述 V1.4 2015-6-16 修改 VREG 最大值 8 键触摸检测 IC V1.5 2015-11-19 修改了关于选项脚电平的一个小 BUG 8 键触摸检测 IC www.csc-ic.com 1 2015-11-19 V1.5 一. 概述 触摸检测 IC 是一款使用电容感应式原理设计的触摸芯片

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

untitled

untitled 2010 2010 1 1.1 1.2 1.3 ( ) 2 2.1 2010.9.30 2009.12.31 % 4,126,073,567.93 3,693,840,245.74 11.70% 1,168,078,495.46 1,025,785,662.02 13.87% 479,722,800.00 479,722,800.00 0.00% / 2010 7-9 2.43 2.14 13.55%

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

1971 7 6 1993 3 8 1993 2 17 1992 2 5 1991 2 3 1 2 1972 2 217 1972 1 270 1987 113 1988 296 1989 132 1990 12 30 1990 8 2 1992 2 7 1986 3 1 1960 28 1960 31 1945 1950 1987 17 1972 1 1 1972 1 39 1972 2 301

More information

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 的 作 者 李 少 白 老 师 以 此 画 册 为 例, 深 刻 分 析 和 探 讨 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 这 本 画 册 最 初 设 想 分 为 四 个 章 节 第 一 章 叫 辉 煌, 第 二 章 叫 梦 想, 第 三 章 叫 神 秘, 第 四 章 叫 飞 歌 为 什 么 分 四 个

More information

20140511

20140511 卷 九 唯 識 學 概 要 真 如 緣 起 也 有 它 不 足 的 地 方! 諸 位 法 師 慈 悲, 陳 會 長 慈 悲, 諸 位 菩 薩, 阿 彌 陀 佛! 請 大 家 打 開 講 義 第 二 十 四 面, 我 們 講 到 二 種 子 之 由 來 我 們 這 一 科 是 講 到 依 唯 識 相 安 立 緣 起, 也 就 是 說 從 唯 識 學 的 角 度 來 探 討 我 們 有 情 眾 生 生

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf FY09/10 人民币表价 5SJ6 小型断路器 标 准 : IEC 60898-1 / GB10963.1 额定电压 : 1P: 230/400V AC / 1P+N: 230V AV / 2,3,4P,3P+N: 400V AC 额定电流 : 0.3~63A 分断能力 : 6KA 脱扣曲线 : C/D start 2010/09 5SJ61147CC20 1 0.3 5SJ6 1P C0.3 55.10

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

2

2 2007 04 2 3 [2002]17 [2004]2135 [2004]2412 [2005]1306 [2005]1534 4 5 6 [2006]18 7 8 9 16 31 10 11 1 1 N 2 N 1 1 M N 2 2...... 1 1 N 2 N 1 1 M N 2 2...... 12 13 2+n 2+n VPN VPN VPN VPN n VPN VPN VPN VPN

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

Screw Type (): Rear Panel Mount with Pin 螺纹款 / 面板后装式 插针插座 CGRFD-RFM -SC00 Connector Size 壳体号 WY-0 F Current Rating 额定电流 D Number of Contacts 接触对数,,0,,

Screw Type (): Rear Panel Mount with Pin 螺纹款 / 面板后装式 插针插座 CGRFD-RFM -SC00 Connector Size 壳体号 WY-0 F Current Rating 额定电流 D Number of Contacts 接触对数,,0,, ITEM LIST 概述 0 Series 0 系列 0Pins 0Pins 0 0Pins 0 0 Pins Pins 0Pins 0Pins 0Pins 0 0Pins 0Pins 0 Pins 0 0 Pins Pins + 0Pins CGR CHOGORI 深圳乔合里科技 Tel:+() / www.chogori.cn Screw Type (): Rear Panel Mount with

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

DS1870 rev1 DS.C

DS1870 rev1 DS.C Rev 1; 5/4 AB LDMOS ( ) (LUT)256 A/D(ADC) LDMOS RF 13 ADCV CC V D I D1 I D2 ADC Hi/Lo 32 I 2 C TM 8 5V 16 TSSOP -4 C +95 C I 2 C Philips Corp. Maxim Integrated Products, Inc. I 2 C Philips I 2 C Philips

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

EC20系列PLC与WEINVIEW通讯手册

EC20系列PLC与WEINVIEW通讯手册 系列 PLC 与 PROFACE 通讯手册 感谢您购买的可编程控制器 (PLC), 在使用我公司 系列 PLC 产品之前, 请仔细阅读 的相关资料 本手册主要介绍 系列 PLC 与 PROFACE 人机界面 (HMI) 的通讯设置以及如何通过 PROFACE 人机界面访问 PLC 内部软元件资源 (X Y M SM S T C D SD Z) PROFACE 人机界面通过 EMERSON Network

More information

天下江南人-4.FIT)

天下江南人-4.FIT) 江 南 要 闻 年 月 江 南 大 学 要 闻 我 校 隆 重 召 开 纪 念 建 党 90 周 年 大 会 7 月 1 日, 江 南 大 学 纪 念 建 党 90 周 年 大 会 在 文 浩 馆 观 众 厅 隆 重 举 行 主 席 台 上, 十 面 鲜 艳 的 红 旗 辉 映 着 熠 熠 生 辉 的 党 徽 校 党 委 书 记 武 贵 龙 校 长 陈 坚 副 校 长 冯 骉 高 卫 东 金 征 宇

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

《垓下歌》 項羽

《垓下歌》 項羽 1. 2. 3. 4. MM1 1 5. 6. 7. 8. MM1 2 9. ( ) 爲 10. 11. MM1 3 12. 13. 14. 15. 縧 16. MM1 4 17. 18. 19. MM1 5 20. 21. 22. 23. 24. 25. MM1 6 26. 27. 28. 29. 30. 31. MM1 7 32. 爲 33. 34. 35. 36. MM1 8 37. 38.

More information

12 12 1 30 40 20 30 10 20 6 10 10 2 34.8 56.1 18.0 20.9 3.8 0.4 17.9 18.3 11.7 9.1 9.1 8.3 9.2 6.3 10.8 8.0 3 1949 1952 1957 1965 1975 1980 1985 100 100 100 100 100 100 100 11.0 19.4 26.1 26.2

More information

报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在 南 宋 时 期 就 初 具 规 模, 已 有 八 九 百 年 的 历

报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在 南 宋 时 期 就 初 具 规 模, 已 有 八 九 百 年 的 历 丽 江 古 城 托 管 挂 牌 可 行 性 分 析 报 告 上 海 文 化 产 权 交 易 所 申 江 文 化 商 品 运 营 服 务 平 台 二 零 一 六 年 七 月 报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在

More information

有 不 良 企 图 时, 就 要 立 即 躲 开 他 当 你 实 在 难 以 分 辨 对 方 是 真 心 实 意 还 是 虚 情 假 意 时, 可 向 父 母 老 师 或 周 围 较 成 熟 和 亲 近 的 朋 友 请 教, 请 他 们 帮 你 分 析 情 况, 做 出 判 断 此 时, 拒 绝 帮

有 不 良 企 图 时, 就 要 立 即 躲 开 他 当 你 实 在 难 以 分 辨 对 方 是 真 心 实 意 还 是 虚 情 假 意 时, 可 向 父 母 老 师 或 周 围 较 成 熟 和 亲 近 的 朋 友 请 教, 请 他 们 帮 你 分 析 情 况, 做 出 判 断 此 时, 拒 绝 帮 第 一 章 女 生 安 全 2009 年 11 月 2 日 深 夜,51 岁 的 农 民 李 某 翻 墙 进 入 某 中 学 行 窃, 他 悄 悄 来 到 一 小 屋 前, 并 无 所 获 见 屋 内 3 名 少 女 都 已 熟 睡, 便 生 邪 念, 欲 行 不 轨 3 少 女 慷 醒 后, 遭 李 某 的 殴 打 和 猥 亵, 其 中 一 名 16 岁 女 生 乘 机 溜 出 房 外, 将 房

More information

內 容 及 試 題 範 例 術 科 評 量 規 範 評 分 標 準 一 (, 工 具 與 材 料 由 本 校 提 供, 考 生 無 須 自 備 ) ( 一 ) 基 本 焊 接 工 具 操 作 及 辨 識 基 本 手 工 具 設 備 ( 二 ) 測 驗 時 間 50 分 鐘 ( 三 ) 工 具 與 材

內 容 及 試 題 範 例 術 科 評 量 規 範 評 分 標 準 一 (, 工 具 與 材 料 由 本 校 提 供, 考 生 無 須 自 備 ) ( 一 ) 基 本 焊 接 工 具 操 作 及 辨 識 基 本 手 工 具 設 備 ( 二 ) 測 驗 時 間 50 分 鐘 ( 三 ) 工 具 與 材 104 學 年 度 高 級 中 等 學 校 特 色 招 生 職 業 類 科 甄 選 入 學 內 容 審 查 表 學 校 名 稱 ( 全 銜 ) 私 立 治 平 高 中 日 期 104 年 4 月 25 日 ( 六 ) 科 班 名 資 訊 科 特 色 班 項 目 基 本 焊 接 工 具 操 作 辨 識 基 本 手 工 具 設 備 一 可 聯 接 性 : 術 科 命 題 規 範 命 題 內 容 基 本

More information