P 型半导体 N 型半导体 P 区 ( 中性区 ) N 区 ( 中性区 ) 负离子区 空间电荷区耗尽层阻挡层势垒区 正离子区 电中性 2) 特性 伏安特性 单向导电性 ( 正向导通 反向截止 ) 什么是正向偏置? 什么是反向偏置? 在正向偏置下, 空间电荷区如何变化? 在反向偏置下, 空间电荷区又如

Size: px
Start display at page:

Download "P 型半导体 N 型半导体 P 区 ( 中性区 ) N 区 ( 中性区 ) 负离子区 空间电荷区耗尽层阻挡层势垒区 正离子区 电中性 2) 特性 伏安特性 单向导电性 ( 正向导通 反向截止 ) 什么是正向偏置? 什么是反向偏置? 在正向偏置下, 空间电荷区如何变化? 在反向偏置下, 空间电荷区又如"

Transcription

1 模拟电子技术 1 至 4 章小结 前面五章的内容结构非常明确, 第一章介绍构成电子线路的半导体器件, 第二章是由基本元器件 三极管和场效应管构成的基本放大电路来实现信号的放大功能, 第三章为基本放大电路级联而成的多级放大电路 第二 三章的内容是来构成分立电路, 而第四章内容就涉及到了集成电路 ( 把分立电路集成到一片硅片上, 利用一个集成芯片来构成具有一定功能的集成电路 ) 前面四章的内容都不考虑电路性能与输入信号频率之间的关系, 第五章内容即为电路的频率响应问题 ( 放大电路的性能如何受到输入信号频率的影响 ) 内容结构 : 连接组成改善性能集成考虑电抗影响 半导体器件基本放大电路多级放大电路集成运放频率响应 掌握 : 1 半导体的基础知识 ; 第一章半导体器件 2 二极管 三极管 场效应管的工作原理 特性曲线和主要参数 ; 3 二极管电路基本分析方法和应用 一 半导体 1) 种类 : 本征半导体和杂质半导体 (P 型 N 型 ) 什么是本征半导体? 什么是杂质半导体? 2) 半导体结构 : 内部存在自由电子 ( 带负电荷 ) 和空穴 ( 带正电荷 ) 两种载流子 ( 为什么会产生?) 本征半导体自由电子 空穴 P 型半导体自由电子 空穴 受主原子原因? 如何形成? 浓度关系? N 型半导体自由电子 空穴 施主原子如何区分三种半导体? 3) 半导体电流 : 扩散电流和漂移电流 ( 产生的机理?) 扩散电流是半导体特有的一种电流 4) 半导体特性 :1) 掺杂性 掺入杂质可改变半导体的导电性能 ( 如何改变?) 2) 温度敏感性 温度或光照改变会影响半导体的导电性能 ( 如何影响?) 5) 半导体材料 : 硅 (Si) 锗(Ge) 砷化镓(GaAs) 二 PN 结 ( 结构? 如何形成? 特性?) 1) 结构 ( 将 P 型半导体和 N 型半导体背靠背紧密排列, 就可以形成 PN 结 ) 为什么会形成空间电荷区? 空间电荷区中的电流关系? 空间电荷区存在电位差, 称为内建电位差 空间电荷区宽度 ( 当 P 型半导体和 N 型半导体掺杂浓度不一样时, 会出现什么情况?) 对称 PN 结和不对称 PN 结? 三种 PN 结 : 突变结 超突变结 缓变结

2 P 型半导体 N 型半导体 P 区 ( 中性区 ) N 区 ( 中性区 ) 负离子区 空间电荷区耗尽层阻挡层势垒区 正离子区 电中性 2) 特性 伏安特性 单向导电性 ( 正向导通 反向截止 ) 什么是正向偏置? 什么是反向偏置? 在正向偏置下, 空间电荷区如何变化? 在反向偏置下, 空间电荷区又如何变化? 正向电流 : 多子扩散电流 ; 反向电流 : 少子漂移电流牢记两个内容 : 电流方程和 PN 结 i~u 曲线 u 电流方程 : i I T ( e U S 1) Is: 反向饱和电流, 受到多个因素影响 U T : 热电压 伏安曲线 : 即 : 为什么会产生单向导电性? 温度特性 PN 结如何受温度影响? 温度变化, 伏安关系会如何变化? 击穿特性击穿是什么? 为何会产生? ( 在什么条件下才会产生击穿?) 两种击穿 : 雪崩击穿和齐纳击穿 ( 产生机理? 碰撞电离和场致击穿 )( 各自特点?) 电容特性 PN 结电容有两部分组成 : 势垒电容和扩散电容 分别怎么产生和各自特点? 三 半导体器件 1 二极管内容 : 组成结构 > 特性 > 参数 > 等效电路 ( 模型 ) 分析 > 应用 1) 结构利用 PN 结, 从 P 区引出正极 ( 阳极 ), 从 N 区引出负极 ( 阴极 ) 电路符号? 2) 特性 ( 类似于 PN 结特性 ) 区别在哪里? 开启电压? 导通电压? 温度影响? 温度变化, 正向电压降如何变化? 电流如何变化? 伏安特性曲线? 电流方程?

3 3) 参数 4) 等效电路 ( 等效模型 )-- 利用该模型来替代二极管 直流模型 : 理想模型 常数压降模型 电池加电阻模型 在直流模型下, 当二极管导通后, 二极管正向压降就为它的导通电压 只能用于直流分析 小信号模型 : U rd I T D 适用条件 :1) 分析叠加在直流信号上的交流信号之间关系的时候用 ; 既分析 U 和 I 间的关系 2) 该交流信号必须叠加在一个直流量上, 即二极管上必须有一个直流量 3) 输入信号为小信号 如果是大信号, 则需要要利用二极管的电流方程来建立节点或回路方程来进行求解, 但本书中通常假设为小信号 5) 二极管电路基本分析方法直流分析和交流分析 ( 小信号分析 ) 什么是直流分析? 什么是交流分析? 分析方法 : 图解分析 : 利用二极管的管外电路曲线和二极管的特性曲线求交点求得电路结构 等效电路分析 ( 近似分析 ): 利用前面的等效模型替代二极管得到等效电路进行分析 分析过程 : 1) 静态分析 ( 直流分析 ): 根据条件 ( 正向导通, 反向截止 ), 利用相应的直流模型替代二极管, 得到直流等效电路, 分析得到静态结果, 此时得到电路中各节点上的直流电压和各支路上的直流电流 ; 要能正确判断二极管状态, 处于导通还是截止 ( 无法判断可以先假设再验证 ) 2) 交流分析 ( 动态分析 ): 利用小信号模型替代二极管, 得到交流等效电路 并利用静态分析结果求得小信号模型参数 然后分析得到交流结果 此时得到电路中各节点上的交流电压和各支路上的交流电流 ; 3) 根据题目要求, 求得最终结果 电路中各节点上的瞬时电压和各支路上的瞬时电流就等于直流叠加上交流 6) 二极管应用 整流应用 利用二极管的什么特性? 如何整流? 稳压应用 稳压二极管的机理?( 反向击穿, 电压稳定 ) 牢记 : 只有在被反向击穿后, 才会起到稳定电压的作用 否则只有正向导通, 反向截止 如何来搭建稳压电路?( 必须确保流过电流在最小电流和最大电流之间 ) 限幅电路 变容二极管 什么机理? 2 三极管

4 内容 : 结构 > 特性 > 参数 > 等效电路 ( 模型 ) 分析 1) 结构 ( 如何构成三极管? 电路符号?) NPN 型 两种类型 :NPN PNP ( 清楚极性和电流方向 ) 三个工作状态 ( 牢记处于不同工作状态时, 电流关系 ): 放大状态 ( 发射结导通 集电结截止 ) 饱和状态 ( 发射结导通 集电结导通 ) 截止状态 ( 发射结 集电结均截止 ) 正确判断工作状态, 两种方法 : 电位判断 ( 根据电压偏置 ) 电流关系判断 : I B =0,I C =0,I E =0 截止 I B >0,I C =βi B,I E =(1+β)IB 放大 I B >0,I C <βi B,I E <(1+β)IB 饱和 由各极电位判断三极管性质及结构方法 (1) 由 0.7V 或 0.25V 断定这两个电极为 B 和 E, 并且由 0.7V Si, 0.25 Ge; (2) 剩下的电极必定为 C; (3) U C 电位最高 NPN U B >U E U C 电位最低 PNP U E >U B 2) 特性 ( 牢记输入特性曲线 输出特性曲线 ) NPN 型三极管 PNP 型三极管

5 在相同的电流方向定义以及相同的电压极性定义下, 与 NPN 曲线关于原点对称 ( 得到特性曲线, 必须注意电流方向和电压极性定义 ) 三极管的温度特性 ( 温度变化, 三极管参数如何变化?) 3) 参数 4) 等效模型 ( 第二章中内容 ) 直流模型 : 放大模式 : I B I C I C I B I ( 1 ) I E B U BE U BE(on) I B U CE 饱和模式 U BE =0.7V; U CE =U CES, U CES 为管子饱和压降, 即饱和工作时,CE 间的压降 I B I C U BE U BE(sat) U CE(sat) U CE 截止模式 I B =0, I C =0 I B I C U BE U CE 交流小信号模型 (H 参数模型 ): I b I c I b I c rbb' b' rbb' b' U be r b ' e I b r ce U ce U be r b ' e I b U ce 在不同的条件下, 利用这些模型来替代晶体三极管, 对三极管电路进行分析 直流模型 : 进行直流分析时使用, 首先必须正确判断工作模式 H 参数模型 : 分析三极管上动态变化的交流小信号时适用 ; 必须是低频信号 ; 必须有静态工作点支持 3 场效应管场效应管需要掌握的内容与三极管类似 参照上面的过程和要点进行复习 结构 工作原理 特性 参数 等效电路见场效应管比较表三极管和场效应管有哪些不同点? 掌握 : 1) 放大电路的组成, 结构以及工作原理 第二章基本放大电路

6 2) 放大电路的分析方法 3) 放大电路的偏置电路 4) 放大电路的组态 5) 场效应管放大电路 一 放大电路的构成利用基本元件和半导体器件可以实现放大信号的功能电路, 那么怎么来构成放大电路?, 怎么来实现信号的放大? 各个元器件的作用? 1) 构成原则 晶体管始终处于放大工作状态 ( 什么来保证?, 合适的静态工作点 ) 输入信号必须能够引起三极管发射结电压的变化 ( 判断 : 交流通路中, 输入信号 Ui 是不是可以加到或连接到三极管的 BE 之间, 如果是其它组态, 则判断 Ui 能够加到两个输入电极之间 ) 晶体管输入电流必须能够转化成电压输出 ( 即必须有负载电阻 Rc) 考虑晶体管的极限参数 ( 比如发射结上不能加太大的电压, 否则会引起管子烧坏, 为什么?) 2) 构成 各器件的作用? 信号的变化过程? 为什么可以实现信号的放大? 3) 场效应管有类似的问题 二 静态工作点 1) 静态工作点什么是静态工作点?(I BQ I CQ U CEQ U BEQ ) 2) 静态工作点的偏置电路什么是静态工作点的偏置电路? 对偏置电路有什么要求? 分压式偏置电路中 Re 电阻的作用? 该电路有什么特点? 温度补偿偏置电路稳定静态工作点的机理? 3) 失真为什么会产生失真?(Q 点不合适 ) 会产生什么失真? 为什么?( 饱和失真 截止失真 ) 如何来消除失真? PNP 管和 NPN 管组成的放大电路产生的失真有何不同? 怎么来分析失真的产生?( 利用直流负载线分析还是利用交流负载线来分析失真? 两者有什么区别?) 4) 静态工作点的分析静态时电路运行情况的分析, 即 ui=0 时的分析结果 也就放大电路的直流分析 分析过程 : 获得放大电路的直流通路 ( 什么是直流通路? 如何获得?) 利用图解分析或等效电路模型方法进行分析 获得分析结果, 得到静态工作点, 也可以得到各节点的直流电压 各支路的直流电流分析方法 :

7 图解法 ( 利用晶体管的输入特性曲线和输出特性曲线以及输入 输出管外直流负载线求交点获得静态工作点, 然后利用静态工作点求其他节点和支路上的直流信号 ) 等效模型法 ( 获得直流通路后, 利用相应的三极管的直流等效模型来替换三极管, 得到直流等效电路, 然后利用基本电路理论来对该直流等效电路进行分析得到直流结果 ) 注意模型的应用条件 三 放大电路的分析 放大电路的分析是最重要的一个内容 1) 直流分析 ( 同上面静态分析 ) 2) 交流分析 ( 动态分析 ) 分析内容 : 通常要来分析放大电路的增益 输入电阻 输出电阻等 ( 必须了解放大电路的性能指标定义 ) 分析过程 : 获得放大电路的交流通路 ( 什么是交流通路? 如何获得?) 利用图解分析或等效电路模型方法进行分析 获得分析结果, 得到各节点的交流电压 各支路的交流电流 将每个节点上的直流电压和交流电压叠加就得到该节点的瞬时电压, 将每条支路上的直 分析方法 : 流电流和交流电流叠加就得到该支路的瞬时电流 图解法 ( 利用晶体管的输入特性曲线和输出特性曲线以及输入 输出管外交流负载线获得动 态信号 ) 等效模型法 ( 获得交流通路后, 利用相应的三极管的小信号等效模型来替换三极管, 得到交流等 效电路, 利用静态结果求得模型参数, 然后利用基本电路理论来对该交流等效电路进行分析得到 交流结果 ) 注意模型的应用条件 交流负载线 直流负载线? 一个放大电路的负载怎么来影响交流负载线? 四 放大电路的组态有三种不同的组态 ( 如何判断一个放大电路的组态?): CE CC CB 必须清楚每种组态各自的特点 CE 组态具有电压 电流放大能力, 反向放大电路 输入输出电阻处于居中位置, 通常用作多级放大电路中的中间级来实现信号的放大作用 输入电阻与负载无关, 输出电阻与信号源内阻无关 ( 在 CE 组态电路中, 有旁路电容 Ce 和没有 Ce 有什么区别, 对电路性能有什么影响? 为什么?) CC 组态不具备电压放大能力, 但可以来放大电流信号 同相放大, 输入电阻较高, 与负载有关 ; 输出电阻较低, 与信号源内阻有关 通常用作射随器 CB 组态不具有电流放大, 但可以放大电压信号, 同相放大 输入电阻低, 与负载无关, 输出电阻高, 与信号源内阻无关, 通常用作电流接续器记住各个组态基本电路的一些结果有助于加快对电路的分析 但必须注意结果跟电路的偏置也有一定的关系, 不能够死记 五 复合管如何来构成复合管? 主要满足两个条件 :1) 因为必须工作在放大状态, 因此两个管子的电流有合适的通路 ( 即一个管子, 包括 PNP 和 NPN, 一旦它工作在放大状态, 那么它的电流方向就确定, 因此两个管子的电流之间不能产生矛盾 ),2) 前一个管子的发射极或集电极必须连接到后一个管子的基极

8 通常 : 如果两个管子构成一个复合管, 则该复合管的类型应该与第一级的管子的类型相同 六 了解场效应管类似的内容第三章多级放大电路及集成运放掌握 : 1) 多级放大电路的耦合方式 2) 多级放大电路的分析方法 3) 差分放大电路的结构 性能和分析 4) 了解互补输出电路的原理一 耦合方式通过将基本放大电路进行级联可以得到多级放大电路 为什么要使用多级放大电路? 有什么好处? 有四种耦合方式 : 直接耦合 ( 直接耦合会产生什么问题? 怎么解决?) 阻容耦合 通过电容进行连接 有什么好处? 变压器耦合 ( 通过变压器进行连接 ) 优缺点? 光电耦合什么是零点漂移? 二 多级放大电路的分析 1) 系统组成 信 号 源 输 入 级 中 间 级 输 出 级 负 载 多级放大电路输入级通常会采用什么放大电路? 为什么?( 差分放大电路 ) 中间级会采用什么放大电路?(CE 组态放大电路, 利用恒流源做有源负载 ) 输出级会采用什么电路?( 互补输出放大电路 ) 2) 多级放大电路的分析多级放大电路是由基本放大电路进行级联得到, 因此最好的分析方法是利用单级放大电路的知识来分析多级放大电路 分析过程 : 明确放大电路的级数 ; 明确放大电路的耦合方式 ( 关系到静态工作点的分析 ) 明确各级放大电路的组态 利用多级性能跟单级性能之间的关系得到结果直流分析 : 如果是阻容耦合, 则可以每级独立分析, 得到各级静态工作点 ( 具体分析同第二章单级放大电路的直流分析 ); 如果是直接耦合, 则需要建立联立方程进行求解 ( 比较复杂 ) 交流分析 : n 放大倍数 : A u A uj 如何计算各级放大倍数???( 需要考虑后级的负载效应 ) i 1 三 差分放大电路 1 结构( 怎么构成差分放大电路?)

9 +V CC R c1 U o R c2 R b1 T 1 T 2 R b2 U i1 R e U i2 -V EE 2 输入输出方式 1) 四种输入 输出方式 双端输入 双端输出 双端输入 单端输出四种输入 输出方式的不同 单端输入 双端输出 单端输入 双端输出 2) 特性 ( 特性跟输入输出方式相关 ) 直流特性 共模特性什么是共模 什么是差模? 为什么要分共模和差模 差模特性 3 分析三个分析 : 直流分析 共模分析和差模分析 双端输出 : 零输入 零输出 ; 如何分析得到静态工作点??? 共模特性 ( 完全抑制共模信号 ) 如何分析共模性能?( 即如何得到共模通路? 为什么?) Auc=0;K CMR 为无穷大 ; 差模特性 ( 对差模信号有放大作用 ) 如何分析差模性能?( 即如何得到差模通路? 为什么?) u o A (u u ) 在双端输出时, 只有两个输入端之间有差值信号, 差分放大器才会 ud I1 I2 有信号输出 单端输出 : 零输入 非零输出 ; 如何分析得到静态工作点??? 共模特性如何分析共模性能?( 即如何得到共模通路? 为什么?) Auc 不为 0;K CMR 为有限值 ; 差模特性 ( 对差模信号有放大作用 ) 如何分析差模性能?( 即如何得到差模通路? 为什么?) ui1 ui2 uo A ud (ui1 ui2) A uc( ) 2 单端输出与双端输出相比, 在性能上有什么样的变化? 4 共模抑制比 什么是共模抑制比? 怎么改善共模抑制比? 5 横流源偏置的差分放大电路

10 为什么要采用横流源偏置? 利用横流源的什么特点来达到目的? 如何来分析横流源差分放大电路? 6 了解互补输出电路的工作原理, 为什么会产生交越失真? 如何消除? 集成运放掌握 : 1) 电流源电路 2) 集成运放的内部结构框图 3) 集成运放的外部特性 参数及简化等效电路 4) 了解集成运放的种类和使用 一 电流源电路 ( 镜像电流源 ) 1 镜像电流源的结构 ( 为什么可以实现恒流源的功能??) +V CC I R R I o T 0 T 1 2 三种电流源 基本镜像电流源结构? 电流关系 :Io 近似等于 I R 为什么是近似?I R =? 那么又如何来提高这个精度? 比例电流源电路结构? 电流关系? 条件? 微电流源结构? 输出电流? 多路电流源电路 3 电流源的应用 可以作为放大电路的有源负载 ( 什么是有源负载? 有什么好处?) 主要利用电流源的什么特点? 二 集成运放在本章中, 只是来认识什么是集成运放, 不涉及集成运放搭建的电路 集成运放是直接耦合的多级放大电路, 主要实现高增益的电压放大 1 内部结构图四部分组成 : 输入级电路 中间级电路 输出级电路 偏置电路 输入级电路 : 差分放大电路 ( 为什么?) 中间级电路 : 以电流源作为有源负载的 CE 组态放大电路 输入级电路 : 互补输出电路 ( 有什么特点?) 偏置电路 : 恒流源电路 2 外部结构图电路符号

11 +V CC u id 两个输入端 :Up 和 UN ( 分别称为同相端和反向端, 为什么?); 一个输出端 ; 双电源供电 V EE 3 端口特性( 电压传输特性 ) 对于集成运放, 我们不需要太了解他的内部具体实现, 我们只要知道他的端口特性就可以, 即只要知道他的输入和输出之间的关系就可以, 我们可以把它作为一个基本器件来使用, 虽然他并不是真正意义上的基本器件 因为是电压放大器, 所以需要了解输入电压和输入电压的关系, 即它的电压传输特性 因为输入级为差分放大电路, 他对共模信号有很强的抑制作用, 所以我们主要关心它的差模电压传输特性 即 : Uo~Uid 的关系 Uo=f(Up-Un) 集成运放特点 : 高增益 高输入电阻 低输出电阻 Uom 称为集成运放的饱和电压,+Uom 通常比 +Vcc 略小,-Uom 通常比 -Vee 略大 u O +U OM O -U OM u P -u N 1 当 Up-Un 非常小时,Uo=Aod(Up-Un), 实现线性放大 ; 既曲线的中间部分, 该斜线的斜率就是 Aod, 定义为集成运放的开环差模增益 该值通常非常大 这部分区域称为线性区或放大区 2 当 Up-Un 大于某个值后,Uo=+/-Uom, 饱和输出 即不管输入信号多大, 输出始终为 +/-Uom 大小, 所以称为饱和区或限幅区 什么时候集成运放会达到饱和输出?( 只要某个输入信号通过集成运放放大后的输出信号幅度达到饱 和电压, 那么此时集成运方就进入饱和区 ) 线性区 : Up-Un <Uom/Aod; 由于 Aod 通常非常大, 所以线性区非常小 4 集成运放的参数 差模参数 共模参数 直流参数 频率参数需要清楚每个参数的定义 比如差模输入电阻和共模输入电阻就有不同的定义方式 第四章频率响应 掌握 : 1) 频率指标 2) 频率响应的描述

12 3) 单级放大电路的频率响应分析 4) 多级放大电路的频率响应分析一 频率指标频率特性是表示增益与输入信号的频率之间的关系, 即如果输入不同频率的信号, 放大电路所具有的增益也会不同 为什么会有这种现象, 由于什么原因引起放大电路的增益是一个频率的函数? 频率特性包括 : 幅频特性和相频特性 ( 定义?) 要牢记下面的放大电路的频率响应图 ( 包括两个图 : 幅频响应图和相频响应图 ) 三个频段 : 低频区 中频区 高频区 三个频段有什么特点?( 中频区增益与频率无关, 低频区随频率减小, 增益下降, 高频区随频率增大, 增益下降 ) 为什么? 分别是由什么原因引起这个下降? 频率指标 : 上下限频率 中频增益 通频带 根据放大电路的频率响应图的不同, 可以有四种不同的放大电路 : 高通 低通 带通 带阻 要实现 不失真的放大信号, 通频带和输入信号的频率之间应该有什么关系? 二 传输函数和波特图频率响应有两种表示方法 : 传输函数 ( 用输出与输入的关系式来表示 ) 和波特图 ( 用图形表示, 半对数坐标来表示 ) 用波特图表示有什么优势? 什么是波特图? 怎么从一个传输函数来画出渐进波特图? 从一个波特图上可以了解到放大电路的什么信息? 三 单级放大电路的频率响应要得到一个放大电路的频率响应, 无非就是来得到考虑电路中的所有电抗元件后的放大电路的增益函数 1 晶体三极管的高频模型( 即考虑三极管中两个 PN 结结电容后的等效模型 ) I b C I c I b rbb' b' I c U be r b ' e g mu b ' e U ce U be r b ' e g mu b ' e U ce C C t 混合 Π 模型单向化模型注意与 H 参数模型的差别适用条件 : 当晶体三极管上所加的信号频率较高时, 节电容会产生较大影响, 而当频率较低时, 该电

13 容影响较小, 所以该模型适用于所加信号频率较高时使用, 因此称为高频模型 与之对应,H 参数模型称为低频模型 2 三极管的频率特性需要了解三极管的频率特性, 即三极管参数 β,α 与频率之间的关系 β 参数的频率特性? α 参数的频率特性? ω T 三极管的几个频率参数及其关系 : T ( 三个参数的定义?) 如何来获得这三个参数? 通常 :f T 参数手册中会给出, Cμ 参数手册也会给出 因此 Cπ 可以通过该式计算得到 : g βω m 0 β C C π μ 3 单级放大电路的频率响应要得到整个放大电路的频率响应, 通常可以把放大电路按低频段 中频段和高频段分别来进行分析, 得到每个频段的频率特性, 然后再把这三个结果合并得到整个放大电路的频率响应 ( 为什么可以分开来分析?) 1) 低频段 ( 主要受到哪些电抗元件的影响? 具有什么特点?) 2) 中频段 ( 哪些电容会产生影响? 具有什么特点?) 3) 高频段 ( 原因? 特点?) 20lg A usm A us (w)(db) H w L H w L f L 和 f H 怎么计算得到? 增益带宽积 :GBW= A usm f bw, 对于一个放大电路来说, 增益带宽积有什么特点? 单管放大电路频率响应的分析过程 : 计算中频增益 ( 按照基本放大电路分析方法进行分析? 为什么?) 计算上限截止频率 ( 利用放大电路高频时的等效电路进行分析, 如何得到高频等效电路?) 得到所有影响高频段的电容 C i 以及该电容所在回路的等效电阻 R i, 求得 f Hi =1/(2πR i C i ), 则上限截止频率为 f f f f H H1 计算下限截止频率 ( 利用放大电路低频时的等效电路进行分析, 怎么得到低频等效电路?) 然后得到各个电容 C i 以及该电容所在回路的等效电阻 R i, 求得 f Li =1/(2πR i C i ), 则下限截止频率为 : f L 1. 1 fl1 fl2 fl n H2 Hn 计算带宽 :fbw=fh-fl 通过上面计算得到的几个参数, 我们基本上可以掌握一个放大电路所具有的频率特性 四 多级放大电路频率响应的分析 分析过程仍然同上面单管分析过程, 计算这几个参数 不同的是需要利用单极的频率响应参数来计算多级 的频率响应参数, 如何计算?

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

课程简介

课程简介 3.4 三种组态放大器的中频特性 放大电路的交流小信号分析是分频段进行 ; 中频段 : 电路电容不起作用, 晶体管用低频小信号模型 ; 低频段 : 电路电容起作用, 晶体管用低频小信号模型 ; 高频段 : 主要考虑 PN 结电容, 晶体管用高频小信号模型 ; 标志放大电路的增益和阻抗特性的分析是在中频段 进行, 不随频率变化, 反映带通特性 ; 1 分析的对象和内容 1. 单级共射放大电路 对象 :BJT

More information

PowerPoint Presentation

PowerPoint Presentation 模拟电子技术 蒋乐天 http://nuedc-sh.sjtu.edu.cn/analog/analog.htm Jiangletian@163.com QQ 群 :545196085 主要内容 半导体器件 放大电路 集成运放电路 负反馈 波形的发生及信号转换 功放电路 直流电源 第一章半导体器件 1.1 半导体基础 1.2 二极管 1.3 双极型晶体管 ( 三极管 ) 1.4 场效应管 1.1 半导体基础

More information

一 填空题 1 深度反馈 ; 同相输入点接地 2 稳定直流工作点 ; 抑制零点漂移 3 小 4 截止 ; 90 ; 大于 6 效率低 ; 甲乙类 7 0 ; 500 ;100 8 低 9 晶体管结电容 90 ; 小于 模拟电子技术基础 模拟卷 ( 一 ) 参考答案 共集 ;

一 填空题 1 深度反馈 ; 同相输入点接地 2 稳定直流工作点 ; 抑制零点漂移 3 小 4 截止 ; 90 ; 大于 6 效率低 ; 甲乙类 7 0 ; 500 ;100 8 低 9 晶体管结电容 90 ; 小于 模拟电子技术基础 模拟卷 ( 一 ) 参考答案 共集 ; 一 填空题 深度反馈 ; 同相输入点接地 稳定直流工作点 ; 抑制零点漂移 3 小 4 截止 5 8 ; 9 ; 大于 6 效率低 ; 甲乙类 7 ; 5 ; 8 低 9 晶体管结电容 9 ; 小于 模拟电子技术基础 模拟卷 ( 一 ) 参考答案 8 共集 ; 共集 ; 共射 ; 共集 ; 共基 自由电子 ; 空穴 绝缘栅型 ; 电压 3 共射 4 输入 5 负反馈 二 分析判断题 解: 稳压管的最大稳定电流

More information

模拟电子技术基础》(满分150分)

模拟电子技术基础》(满分150分) 模拟电子技术基础 模拟测试一答案 一 填空题 ( 每小题 3 分, 共 5 分. 自由电子, 空穴. 源极 3. 相同. 小 5. 高, 饱和失真 6. 增大, 减小 7. 虚短, 虚断 8. 放大电路, 选频网络 9. 正反馈, 非线性 0. 变窄. 反向击穿. 射, 集, 基 3. 串联, 电压. 选频, 正反馈 5. 最大输出功率, 电源提供的功率 二 分析判断题 ( 本大题共 小题, 每小题

More information

Microsoft Word - 习题解答.doc

Microsoft Word - 习题解答.doc 习题解答 第一章.4. 解 : 由图可知, ( ) L +, + s s () 0, 0 时, s L L 0 s ( s + ), 0 0 + L L s 00 / 8.6 /0 s () s (3) s (4) s 5.5 s 0 / 0.086 /0 s 0 / 0.86 /0 s.5.5 解 : 输入级 : 高输入电阻型放大电路 减小对信号源的衰减中间级 : 高增益型放大电路 提高信号的放大倍数输出机

More information

课程简介

课程简介 第三章双极型晶体管及 其基本放大电路 郭圆月 2014 年 10 月 9 日 本章主要内容 3.1 双极型晶体管 3.2 JT 基本放大电路直流分析方法 3.3 JT 基本放大电路交流分析方法 3.4 三种组态放大器的中频特性 3.5 单级共发放大器的频率特性 3.6 多级放大电路 2 3.1 双极型晶体管 1. 结构与功能 2. 放大工作原理 3. bers-moll 数学模型 4. 静态工作伏安特性曲线

More information

第三章 半导体三极管及其应用

第三章 半导体三极管及其应用 第三章 双极结型三极管及其放大电路 ------Part 吉林大学电子科学与工程学院李传南 2013 Oct. 3.1 BJT- 双极结型晶体管 BJT 是双极结型三极管或双极结型晶体三极管 (Bipolar Junction Transistor), 又称为晶体管, 或晶体三极管 : 是通过一定的工艺将两个 PN 结结合在一起的器件 由于 PN 结之间的相互影响, 使 BJT 表现出 不同于单个

More information

模拟电子技术基础

模拟电子技术基础 第二章 PN 结二极管及其应用 1.1 半导体基础知识 1.2 半导体二极管 1.3 二极管应用电路 1 半导体基础知识 一 本征半导体二 杂质半导体三 PN 结的形成及其单向导电性四 PN 结的电容效应 一 本征半导体 1 什么是半导体? 什么是本征半导体? 导电性介于导体与绝缘体之间的物质称为半导体 导体 -- 铁 铝 铜等金属元素等低价元素, 其最外层电子在外电场作用下很容易产生定向移动, 形成电流

More information

1、电路如图1所示,用支路电流法求图中各支路电流及各电源及电阻的功率

1、电路如图1所示,用支路电流法求图中各支路电流及各电源及电阻的功率 1 计算图 P1 所示各电路,K 打开时及闭合时的 U a U b 及 U ab 图 P1 2 电路如图 P2 所示, 求 U x I x 和电压源电流 电流源电压 图 P2 3 在图 P3 所示电路中, 试求受控源提供的电流以及功率 4 电路如图 P4 所示, 若 U s =19.5 V,U 1 =1 V, 试求 R 图 P3 图 P4 5 求图 P5 所示各二端网络的输入电阻 R i 6 求图

More information

Microsoft PowerPoint - 第6章.ppt

Microsoft PowerPoint - 第6章.ppt 第六章 模拟集成电路 010 年 4 月 30 日 1 集成电路 在半导体制造工艺的基础上, 把整个电路中的元器件制作在一块硅基片上, 构成特定功能的电子电路, 称为集成电路 模拟集成电路种类繁多, 有运算放大器 宽频带放大器 功率放大器 模拟乘法器 模拟锁相环 模数和数模转换器 稳压电源和音像设备中常用的其他模拟集成电路等 模拟集成电路一般是由一块厚约 0.-0.5mm 的 P 型硅片制成, 称为基片

More information

河北工业大学20XX年硕士研究生招生考试自命题科目考试大纲

河北工业大学20XX年硕士研究生招生考试自命题科目考试大纲 河北工业大学 2020 年硕士研究生招生考试自命题科目考试大纲 科目代码 :840 科目名称 : 电工基础适用专业 : 电气工程 能源动力 ( 专业学位 ) 一 考试要求电工基础适用于河北工业大学电气工程学院电气工程 能源动力 ( 专业学位 ) 专业研究生招生专业课考试 主要考察考生对于电路 模拟电子技术相关基本概念 理论 分析方法等基础知识掌握的综合能力, 测试考生对相关理论及分析方法的掌握情况,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

知识目标 1. 掌握 PN 结的单向导电性和伏安特性 半导体二极管的模型及其伏安特性与主要参数 稳压二极管的稳压原理及稳压电路 三极管的工作状态及伏安特性与主要参数 2. 熟悉 PN 结的特性 电流分配及放大作用 能力目标 1. 通过学习半导体二极管基础知识, 具备二极管的识别和检测能力 2. 通过

知识目标 1. 掌握 PN 结的单向导电性和伏安特性 半导体二极管的模型及其伏安特性与主要参数 稳压二极管的稳压原理及稳压电路 三极管的工作状态及伏安特性与主要参数 2. 熟悉 PN 结的特性 电流分配及放大作用 能力目标 1. 通过学习半导体二极管基础知识, 具备二极管的识别和检测能力 2. 通过 知识目标 1. 掌握 PN 结的单向导电性和伏安特性 半导体二极管的模型及其伏安特性与主要参数 稳压二极管的稳压原理及稳压电路 三极管的工作状态及伏安特性与主要参数 2. 熟悉 PN 结的特性 电流分配及放大作用 能力目标 1. 通过学习半导体二极管基础知识, 具备二极管的识别和检测能力 2. 通过学习半导体三极管基础知识, 具备三极管的识别和检测能力 学习重点 1. 半导体二极管的模型及其伏安特性

More information

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V 3. 测量电压放大倍数调节一个频率为 1kHz 峰- 峰值为 50mV 的正弦波作为输入信号 U i 断开 DTP5 接地的线, 把输入信号连接到 DTP5, 同时用双踪示波器观察放大器输入电压 U i (DTP5 处 ) 和输出电压 U o (DTP25 处 ) 的波形, 在 U o 波形不失真的条件下用毫伏表测量下述三种情况下 :1 不变实验电路时 ;2 把 DTP32 和 DTP33 用连接线相连时

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

实验7 单管低频电压放大电路

实验7   单管低频电压放大电路 实验 7 单管低频电压放大电路 实验目的. 认识实验所用的电子元器件 ( 双极型晶体管 电阻 电位器和电容器等 ) 2. 学习看图接线, 能熟练使用常用电子测量仪器 3. 学习单管电压放大电路的基本测试方法 4. 了解双极型晶体管电压放大电路中引入负反馈后对其工作性能的影响 实验原理. 单管低频电压放大电路介绍 +U R B RP 00kΩ R 3kΩ 2V R S 0kΩ e S u 0μF RB2

More information

36 第二章晶体三极管及基本放大电路 晶体三极管是具有放大作用的半导体器件, 由三极管组成的放大电路广泛应用于各种电子 设备中, 例如收音机 电视机 扩音机 测量仪器及自动控制装置等 本章介绍三极管应用的必备 知识及由它构成的基本放大电路的工作原理和一般分析方法 第一节晶体三极管 晶体三极管是电子电

36 第二章晶体三极管及基本放大电路 晶体三极管是具有放大作用的半导体器件, 由三极管组成的放大电路广泛应用于各种电子 设备中, 例如收音机 电视机 扩音机 测量仪器及自动控制装置等 本章介绍三极管应用的必备 知识及由它构成的基本放大电路的工作原理和一般分析方法 第一节晶体三极管 晶体三极管是电子电 第二章 晶体三极管及基本放大电路 知识目标 了解三极管的结构, 掌握三极管的电流分配关系及放大原理 掌握三极管的输入和输出特性, 理解其含义, 了解主要参数的定义 掌握单级低频放大电路的组成和工作原理 熟悉用估算法分析放大电路的基本方法, 了解图解法分析放大电路的要领 熟悉常用稳定静态工作点的偏置放大电路, 理解稳定静态工作点的基本原理 技能目标 会查阅半导体器件手册, 能按要求选用三极管 会用万用表判别三极管的引脚,

More information

第2章 半导体二极管及其应用B.doc

第2章 半导体二极管及其应用B.doc 第 章半导体二极管及其应用 第 章半导体二极管及其应用. 教学基本要求 半导体基础知识 半导体二极管 主要知识点本征半导体, 掺杂半导体 PN 结的形成 PN 结的单向导电性 PN 结的电容效应二极管的结构及类型 教学基本要求 熟练掌握 正确理解 一般了解 二极管的伏安特性及主要参数 二极管的应用 ( 整流和限幅 ) 硅稳压管的伏安特性 主要参数 硅稳压管稳压电路. 重点和难点 一 重点 光电二极管,

More information

实习报告

实习报告 实习报告 ( 学生 : 张露丹学号 :2217102005) 实习地点 : 重庆 24 所 实习时间已经过了一半了, 现在对我这半年来的收获做一些简单的介绍 我被分在 24 所设计中心的放大器组, 主要学习研究放大器的各项功能, 在这半年的时间里我主要从事了以下几个方面的工作 : 一 : 学习基本的模拟电路的单元, 了解一些基本的放大器电 路 1. 先介绍一些基本单元电路, 基本单元电路主要有 :

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 2 章逻辑代数基础 第 2 章逻辑门 2. 逻辑函数 2.2 逻辑门描述 2.3 逻辑门电路实现 2.4 集成逻辑门 第 2 章逻辑代数基础 2. 逻辑运算 2.. 三种基本运算 自然界中许多事物之间存在着一定的逻辑关系 其中 与 或 和 非 是三种基本的逻辑关系. 逻辑与关系 ( 与运算 / 逻辑乘 ) 逻辑 与 关系是指事物之间的这样一种逻辑关系 : 设有三个事件, 和 C 事件 C 的发生与否,

More information

6 电路 : 理解和掌握基本放大电路 集成运算放大器 功率放大器 信号 发生器及直流稳压电源, 并能熟练应用 7 基本方法掌握微变等效电路分析法 能够较灵活地分析各种模拟电路 三. 教学内容 第一章电路的基本概念与基本定律电流 电压的参考方向欧姆定律基尔霍夫电流 电压定律电位计算第二章电路的分析方法

6 电路 : 理解和掌握基本放大电路 集成运算放大器 功率放大器 信号 发生器及直流稳压电源, 并能熟练应用 7 基本方法掌握微变等效电路分析法 能够较灵活地分析各种模拟电路 三. 教学内容 第一章电路的基本概念与基本定律电流 电压的参考方向欧姆定律基尔霍夫电流 电压定律电位计算第二章电路的分析方法 天津大学 电路与电子技术 课程教学大纲 课程编号 : 2160242 课程名称 : 电路与电子技术 学 时 : 64 学 分 : 3.5 学时分配 : 授课 : 48 上机 : 实验 : 16 实践 : 实践 ( 周 ): 授课学院 : 计算机科学与技术 适用专业 : 计算机科学与技术 先修课程 : 大学物理 一. 课程的性质与目的本课程是高等学校本科计算机及其应用专业必修的一门重要的学科基础课程,

More information

第五章生活中的电子-电子门铃

第五章生活中的电子-电子门铃 第五章生活中的电子 - 电子门铃 5.1 概述 5.2 器件原理 - 三极管 5.2.1 概述 5.2.2 BJT 的结构原理 5.2.3 双极型三极管的特性曲线 5.2.4 三极管的主要参数 5.2.5 温度对 BJT 参数及特性的影响 5.3 电路分析及测量 5.3.1 电路原理分解 5.3.2 电路测量方法 5.1 概述 1. 门铃的历史 2. 现代电子门铃作用及延伸 3. 简单电子门铃电路模型

More information

<4D F736F F F696E74202D20B5DAD2BBD5C2D0F7C2DB2E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20B5DAD2BBD5C2D0F7C2DB2E BBCE6C8DDC4A3CABD5D> 模拟电子技术 主讲教师 : 王庆凤 Emal:wangqf@jlu.edu.cn 模拟电子技术参考资料 参考教材 康华光电子技术基础模拟部分 ( 第五版 ) 高等教育 童诗白模拟电子技术基础 ( 第四版 ) 高等教育 华成英模拟电子技术基本教程清华大学出版社 习题集 模拟电子技术基础解题指南清华大学 模拟电子技术常见题型解析及模拟题西北工业大学 模拟电子技术基础学习与解题指南华中科技大学 电子技术的发展

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

河北省 2016 年普通专科接本科教育考试

河北省 2016 年普通专科接本科教育考试 河北省普通高校专科接本科教育考试 电气工程及其自动化 / 电子信息工程 / 信息工程 / 自动化 专业考试说明 第一部分 : 电路 Ⅰ. 课程简介 一 内容概述与要求电路考试是为招收电气工程及其自动化 电子信息工程 自动化等专业专科接本科学生而实施的入学考试 参加本课程考试的考生应理解或了解电路模型和电路定律 电阻电路的等效变换 电阻电路的一般分析 电路定理 储能元件 一阶电路和二阶电路的时域分析

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

附件 6: 郑州大学 2020 年硕士生入学考试初试自命题科目考试大纲 学院名称科目代码科目名称考试单元说明 微电子学院 905 电子技术 说明栏 : 各单位自命题考试科目如需带计算器 绘图工具等特殊要求的, 请在说 明栏里加备注 郑州大学硕士研究生入学考试 电子技术 考试大纲 命题学院 ( 盖章

附件 6: 郑州大学 2020 年硕士生入学考试初试自命题科目考试大纲 学院名称科目代码科目名称考试单元说明 微电子学院 905 电子技术 说明栏 : 各单位自命题考试科目如需带计算器 绘图工具等特殊要求的, 请在说 明栏里加备注 郑州大学硕士研究生入学考试 电子技术 考试大纲 命题学院 ( 盖章 附件 6: 郑州大学 2020 年硕士生入学考试初试自命题科目考试大纲 学院名称科目代码科目名称考试单元说明 微电子学院 905 电子技术 说明栏 : 各单位自命题考试科目如需带计算器 绘图工具等特殊要求的, 请在说 明栏里加备注 郑州大学硕士研究生入学考试 电子技术 考试大纲 命题学院 ( 盖章 ): 物理学院 考试科目代码及名称 : 905 电子技术 一 考试基本要求及适用范围概述 本 电子技术

More information

Ps22Pdf

Ps22Pdf Publishing House of Electronics Industry BEIJING :,,,,,, ( CIP) /. :, 2004. 2 ISBN 7-5053-9594-7... :. T N710 CIP ( 2004) 002543 : : : : 173 100036 : : 787980 1/ 16 :23 : 518 : 2004 2 1 : 5 000 :30. 00

More information

情况汇报

情况汇报 电子线路 南京航空航天大学王成华 chwang@nuaa.edu.cn 025-84892797(O) 一 信息无处不在, 信息改变生活 电视广播 数码摄像机 现代信息化战争 因特网 移动通信 信息社会 核磁共振 笔记本电脑 MP5 音视频播放器 GPS 导航仪 计算机硬件 笔记本电脑 信息服务业 通信产业 曙光超级计算机 信息检索 电子商务和娱乐等 集成电路生产装备 固定通信移动通信 卫星通信 微波通信

More information

高等数学A

高等数学A 高等数学 A March 3, 2019 () 高等数学 A March 3, 2019 1 / 55 目录 1 函数 三要素 图像 2 导数 导数的定义 基本导数表 求导公式 Taylor 展开 3 积分 Newton-Leibniz 公式 () 高等数学 A March 3, 2019 2 / 55 函数 y = f(x) 函数三要素 1 定义域 2 值域 3 对应关系 () 高等数学 A March

More information

Microsoft Word - MC358CHN.doc

Microsoft Word - MC358CHN.doc MC358 低功耗双运算放大器 版本 2.0 内容 : 描述....2 脚位描述....3 电气特性.3-4 典型单电源应用........5 典型特性曲线.6-7 包装流程图....8 重要声明........9 修改历史 版本 发布日期 注释 V1.0 Jan. 10, 2007 初版 V2.0 Aug.8, 2010 更新规格书格式 516 Bld 4, National Software Base,

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 耐压低压差微功耗型 CMOS 电压稳压电路 MD7XX 系列 120mA MD7XX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 同时具有高输入电压承受能力, 最高工作电压可达 1V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±3% 输入输出压差低 mv 超低功耗电流 ⒈2uA

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 MD7UXX 系列 120mA MD7UXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 同时具有高输入电压承受能力, 最高工作电压可达 1V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±3% 输入输出压差低 mv 超低功耗电流 1uA

More information

<4D F736F F D BCB6B0EBB5BCCCE5C6F7BCFECEEFC0EDC6DAC4A9CAD4CCE2A3A841BEEDA3A9>

<4D F736F F D BCB6B0EBB5BCCCE5C6F7BCFECEEFC0EDC6DAC4A9CAD4CCE2A3A841BEEDA3A9> 4 级半导体器件物理期末试题 (A 卷 ) ( 共 8 题, 满分 1 分, 考试时间 :15 分钟 可以使用简单计算器 ) 1 1 分 证明小注入情况下在 PN 结空间电荷区边界上有 ( x ) e ( x ) e 成立 15 分 共基极连接双极结型晶体管 (J): (1) 5 分 画出电流分量示意图 () 5 分 写出各个极电流表达式 (3) 5 分 写出各个极电流之间满足的关系式 3 15 分

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

Microsoft Word - 02a

Microsoft Word - 02a 半导体器件物理期末试题 (A) ( 电子科学与工程学院 级 5 年 6 月考试时间 15 分钟 ) 一 [35 分 ] 回答下列问题 基区穿通 MOSFET 的阈值电压基区宽度调变效益等电子陷阱 P-I-N 光电二极管中 I 层的作用外量子效率雪崩击穿二 [1 分 ] 长 PN 结处于反向偏压, 解扩散方程求出少数载流子分布, 电流分布和总电流的表达式 说明反向饱和电流的产生机制 三 [1 分 ]

More information

Ps22Pdf

Ps22Pdf ,,,, : : (010 ) 84043279 13801081108 : (010 ) 64033424 E - mail: dd@ hep.com.cn : 55 : 100009 21, 21,,,,,, 8 EWB EDA, (CIP).,.:,2003.2 ISBN 7-04 - 011860-2......... - - - -. TM CIP (2003)000543 010-64054588

More information

标题

标题 前 言 为了更好地适应全国中等职业技术学校电子类专业的教学要求 ꎬ 全面提升教学质量 ꎬ 人力资源社会保障部教材办公室组织有关学校的骨干教师和行业 企业专家 ꎬ 对全国中等职业技术学校电子类专业教材进行了修订和补充开发 ꎮ 此项工作以人力资源社会保障部颁布的 «技工院校电子类通用专业课教学大纲 (2016)» «技工院校电子技术应用专业教学计划和教学大纲 (2016)» «技工院校音像电子设备应用与维修专业教学计划和教学大纲

More information

.., + +, +, +, +, +, +,! # # % ( % ( / 0!% ( %! %! % # (!) %!%! # (!!# % ) # (!! # )! % +,! ) ) &.. 1. # % 1 ) 2 % 2 1 #% %! ( & # +! %, %. #( # ( 1 (

.., + +, +, +, +, +, +,! # # % ( % ( / 0!% ( %! %! % # (!) %!%! # (!!# % ) # (!! # )! % +,! ) ) &.. 1. # % 1 ) 2 % 2 1 #% %! ( & # +! %, %. #( # ( 1 ( ! # %! % &! # %#!! #! %!% &! # (!! # )! %!! ) &!! +!( ), ( .., + +, +, +, +, +, +,! # # % ( % ( / 0!% ( %! %! % # (!) %!%! # (!!# % ) # (!! # )! % +,! ) ) &.. 1. # % 1 ) 2 % 2 1 #% %! ( & # +! %, %. #(

More information

# % & ) ) & + %,!# & + #. / / & ) 0 / 1! 2

# % & ) ) & + %,!# & + #. / / & ) 0 / 1! 2 !!! #! # % & ) ) & + %,!# & + #. / / & ) 0 / 1! 2 % ) 1 1 3 1 4 5 % #! 2! 1,!!! /+, +!& 2! 2! / # / 6 2 6 3 1 2 4 # / &!/ % ). 1!!! &! & 7 2 7! 7 6 7 3 & 1 2 % # ) / / 8 2 6,!!! /+, +! & 2 9! 3 1!! % %

More information

PowerPoint Template

PowerPoint Template 集成运放与调理电路分析 上海交通大学电子信息与电气工程学院电气工程系罗利文 lwluo@sjtu.edu.cn 1. 信号调理电路及其作用 在现代电气测量系统中, 调理电路是一个重要组成部分, 它位于传感器和 ADC 之间, 其功能可以概括成以下几点 : 1. 放大作用 : 电压放大应使测量范围对应 ADC 的满量程输入电压范围 2. 共模抑制 : 抑制差分输入信号中的共模电压分量 3. 阻抗转换

More information

2016 暨南大学 823 电子技术基础考研专业课复习全书 编辑推荐 五洲暨大考研网是聚英教育旗下子品牌, 专业做暨南大学考研专业课辅导资料, 以其严谨 专业 信息精准等广受考研学子称赞 五洲暨大考研网秉持专注 责任 诚信原则, 倾情推出了 暨南大学考研专业课复习全书 系列丛书, 本书系统全面总结考

2016 暨南大学 823 电子技术基础考研专业课复习全书 编辑推荐 五洲暨大考研网是聚英教育旗下子品牌, 专业做暨南大学考研专业课辅导资料, 以其严谨 专业 信息精准等广受考研学子称赞 五洲暨大考研网秉持专注 责任 诚信原则, 倾情推出了 暨南大学考研专业课复习全书 系列丛书, 本书系统全面总结考 五洲暨大考研网坚持 专业人做专业事, 为广大考研学子奉献 专业作品! 推荐指数 : 基本信息 教材名称 2016 暨南大学 823 电子技术基础考研专业课复习全书 ( 含真题与答案 ) 编著 聚英教育组编 页数 308 页 字数 128 千字 开本 16 开 出版日期 2015 年 3 月 购买网址 http://www.passjnu.com/product-118.aspx 咨询电话 :0592-2060051,2060052

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

电子技术习题解答汇总

电子技术习题解答汇总 P55 晶体管起放大作用的外部条件, 发射结必须正向偏置, 集电结反向偏置 晶体管放大作用的实质是利用晶体管工作在放大区的电流分配关系实现能量转换. 晶体管的电流分配关系 晶体管工作在放大区时, 其各极电流关系如下 : I βi I = I I = ( β ) I E β I I = β = I I. 晶体管的特性曲线和三个工作区域 () 晶体管的输入特性曲线 : 晶体管的输入特性曲线反映了当 UE

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

<4D F736F F D20B2CECAFDB7FBBAC5BBE3D7DC2E646F63>

<4D F736F F D20B2CECAFDB7FBBAC5BBE3D7DC2E646F63> Diode 肖特基二极管 (Schottky Diode) V RRM Peak repetitive reverse voltage 反向重复峰值电压 V RWM Working peak reverse voltage 反向工作峰值电压 V R DC Blocking Voltage 反向直流电压 V R(RMS) RMS Reverse Voltage 反向电压有效值 I F(AV) Average

More information

图书在版编目 <CIP) 数据 医学电子学基础与医学影像物理学 / 潘志达主编 - 北京 z 科学技术文献出版社, 医学影像专业必修课考试辅导教材 ISBN 医 II. 潘 ffi. 1 医用电子学 - 医学院校 - 教材 2 影像诊断 - 医用物理

图书在版编目 <CIP) 数据 医学电子学基础与医学影像物理学 / 潘志达主编 - 北京 z 科学技术文献出版社, 医学影像专业必修课考试辅导教材 ISBN 医 II. 潘 ffi. 1 医用电子学 - 医学院校 - 教材 2 影像诊断 - 医用物理 医学影像专业必修课考试辅导教材 医学电子学基础与 医学影像物理学 主编潘志达副主编伍建林盖立平王保芳 编者 ( 以姓氏笔画为序 丁晓东王保芳宁殿秀伍建林陈艳霞盖立平潘志达主审洪洋 科学技术文献出版社 Scientific and Technical Documents Publishing H 因 e 北京 图书在版编目

More information

标题

标题 前 言 为了更好地适应全国中等职业技术学校电子类专业的教学要求 ꎬ 全面提升教学质量 ꎬ 人力资源社会保障部教材办公室组织有关学校的骨干教师和行业 企业专家 ꎬ 对全国中等职业技术学校电子类专业教材进行了修订和补充开发 ꎮ 此项工作以人力资源社会保障部颁布的 «技工院校电子类通用专业课教学大纲 (2016)» «技工院校电子技术应用专业教学计划和教学大纲 (2016)» «技工院校音像电子设备应用与维修专业教学计划和教学大纲

More information

1

1 6 5 温度下若 0.00l/kg 的 l 溶液的平均活度为 γ ±, 相同浓度的 S 溶液的平 均活度为 r ±, 则 γ ±, >γ ±, γ ±,

More information

电子产品原理安装与调试 续表 电子产品 直流电源类型 电子产品 直流电源类型 光动能电子表 太阳能电池 台式电脑 开关电源 半导体收音机 变压器降压整流电源 干电池 太阳能交通信号灯 太阳能电池 / 蓄电池 彩色电视机 开关电源 太阳能照明灯 太阳能电池 / 蓄电池 手机充电器 阻容降压整流电源 有

电子产品原理安装与调试 续表 电子产品 直流电源类型 电子产品 直流电源类型 光动能电子表 太阳能电池 台式电脑 开关电源 半导体收音机 变压器降压整流电源 干电池 太阳能交通信号灯 太阳能电池 / 蓄电池 彩色电视机 开关电源 太阳能照明灯 太阳能电池 / 蓄电池 手机充电器 阻容降压整流电源 有 1 第 1 章电子产品常用单元电路分析 各种电子产品的内部都会有一个能够维系其工作的电子系统, 这个电子系统无论多么复杂, 都是由若干个基本单元电路组成的, 因此掌握常用的电子单元电路的结构及工作原理就显得非常重要 本章是从实际应用的角度对电子产品常用单元电路的结构及原理进行分析和讨论, 同时也涉及实践中的一些技术问题 1.1 直流电源电路 1.1.1 直流电源概述直流电源是电子设备工作的动力源,

More information

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式]

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式] 数字信号处理 周治国 05. 第五章数字滤波器 IIR 数字滤波器的频率变换 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型 模拟 - 模拟频带变换 模拟带通带阻高通 数字化 数字带通带阻高通

More information

模拟电子电路分析与应用 二 任务学习情境 简易充电器电路分析与制作 名称 输出电压为 6V 的并联型直流稳压电源的制作 简易充电器电路的分析与制作 内容 1. 熟悉电路各元件的作用. 根据电路参数进行元器件的检测 要求 3. 电路元件的安装 4. 电路参数测试与调整 5. 撰写电路制作报告 相关知识

模拟电子电路分析与应用 二 任务学习情境 简易充电器电路分析与制作 名称 输出电压为 6V 的并联型直流稳压电源的制作 简易充电器电路的分析与制作 内容 1. 熟悉电路各元件的作用. 根据电路参数进行元器件的检测 要求 3. 电路元件的安装 4. 电路参数测试与调整 5. 撰写电路制作报告 相关知识 1 简易充电器电路分析与制作 任务描述 交流电是使用最方便的电源, 但日常生活中有些电气设备直接或间接使用直流电源才能工作, 这就需要一种能够提供直流电源的装置, 这种装置称为直流稳压电源 本任务按照并联型直流稳压电源的组成制作一个用稳压二极管稳压的并联型直流稳压电源 一 任务目标 1. 知识目标 (1) 熟悉二极管的结构 符号 分类与特性 () 了解电容滤波的原理 (3) 了解集成三端稳压器的型号含义

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

电子技术基础

电子技术基础 电子技术基础 第 版 周筱龙潘海燕主编 电子工业出版社 1 内容简介 本教材共分五章和附录, 其中第一 二章为模拟电子技术, 主要内容可归纳为三个方面 : 其一是三种半导体器件 ( 二极管 双极型三极管和运放 ) 的结构 特性和有关参数内涵的介绍 ; 其二是以分立元件实用功放案例为中心, 介绍组成案例的各种晶体管单元电路的结构 工作过程和技术参数 ; 其三是以带双电源的函数信号发生器案例为中心, 介绍组成案例的各种单元电路,

More information

Fig1 Theforceappliedtothetrainwhenrunning :w = w j +w q (3) :w = w = w 0 +w j (4) w i 121 基本阻力 w r = 600 R ( N/kN) (8) :R : [2] w s [3] w s =0

Fig1 Theforceappliedtothetrainwhenrunning :w = w j +w q (3) :w = w = w 0 +w j (4) w i 121 基本阻力 w r = 600 R ( N/kN) (8) :R : [2] w s [3] w s =0 31 4 2012 8 JournalofLanzhouJiaotongUniversity Vol31No4 Aug2012 :1001-4373(2012)04-0097-07 * 张友兵 张 波 ( 100073) : 分析了列车运行过程中的受力情况 给出了制动过程中减速度的计算方法 并采用正向 反向两种迭代方式计算列车制动曲线 两种方式计算出的制动曲线一致 证明了计算制动曲线的方法是正确的

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Microsoft Word - JC53XX doc

Microsoft Word - JC53XX doc 低压差微功耗型 LDO CMOS 电压稳压电路 JC53XX 系列 500mA JC53XX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流, 正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 最高工作电压可达 10V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±2% 输入输出压差低 15mV Iout=1mA 超低功耗电流 ⒈2uA

More information

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应 国 ' 东 极 也 直 前 增 东 道 台 商 才 R od e ric h P t ak 略 论 时 期 国 与 东 南 亚 的 窝 贸 易 * 冯 立 军 已 劳 痢 内 容 提 要 国 与 东 南 亚 的 窝 贸 易 始 于 元 代 代 大 规 模 开 展 的 功 效 被 广 为 颂 扬 了 国 国 内 市 场 窝 的 匮 乏 窝 补 虚 损 代 上 流 社 会 群 体 趋 之 若 鹜 食 窝

More information

PowerPoint Presentation

PowerPoint Presentation 第七章集成运放应用电路 7. 理想运放特性及分析方法 7. 比例运算和加减运算电路 7.3 积分运算电路和微分运算电路 7.4 对数运算电路和指数运算电路 7. 5 差动运放电路 7.6 实际运放的误差分析 研究的问题 () 运算电路 : 运算电路的输出电压是输入电压某种运算的结果, 如加 减 乘 除 乘方 开方 积分 微分 对数 指数等 () 描述方法 : 运算关系式 O = ( I ) (3)

More information

2

2 模拟电子技术基础 实验指导书 电工电子实验教学中心 2018 年 8 月 实验一常用电子仪器的使用 1. 实验目的 (1) 学会万用表的使用方法 ; (2) 学会用示波器测试电压波形 幅度 频率的基本方法 ; (3) 学会正确调节函数信号发生器频率 幅度的方法 ; (4) 学会交流毫伏表的使用方法等 2. 实验仪器 (1)DS-5000 系列数字示波器 ; (2)TH SG10 型数字合成信号发生器

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

Microsoft Word - 参数符号汇总 doc

Microsoft Word - 参数符号汇总 doc Diode 普通二极管 (Diode) V RRM Peak repetitive reverse voltage 反向重复峰值电压 V RWM Working peak reverse voltage 反向工作峰值电压 V R DC blocking voltage 反向直流电压 V R(RMS) RMS reverse voltage 反向电压有效值 I O Average rectified

More information

Microsoft PowerPoint - vlsi_chapter08

Microsoft PowerPoint - vlsi_chapter08 第 8 章高速 MOS 逻辑电路设计 本章目录 8. 门延时 8. 驱动大电容负载 8. 逻辑努力 (ogical Effot) 8.4 BiMOS 驱动器 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 MOS 逻辑门的开关时间 上升时间 : t 下降时间 : t f t 0 t f 0 α p n α 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 参照晶体管

More information

Microsoft PowerPoint - 第1章.ppt

Microsoft PowerPoint - 第1章.ppt 电子技术基础 (2) 2010 年 3 月 5 日 1 课程内容 电子技术基础 (2)- 模拟电路部分 (40 学时 ) 教材 : 1. 电子技术基础, 康华光考试 : 考一次 分数 : 考试占 80%, 作业占 20% 作业 : 在每章结束后下一堂课时交, 具体安排请各班学习委员同辅导老师商量 辅导教师 : 梁福田 3606495 2 第一章 绪 论 3 1-1 电子系统 电子系统是指由若干相互联接

More information

S = 1 2 ( a + b) h a b = a 1 a b = a 1 b b 2 2 πr 2r π π 2 = ( - 2)r 2 2 = - 2 = 57 2r 2r 2 6 5 7 4 3 6 5 4 3 3 4 5 6 7 7 5 7 6 1 1 1 1 1 2 3 5 7 7. 2 3 4 6 12 3 4 12 12 1

More information

第四章 生活中的电子-取暖器

第四章  生活中的电子-取暖器 第四章生活中的电子 电热毯 教学目的 : 通过生活中常见的取暖设备了解电路取暖原理, 并掌握半导体二极管的原理和应用 目录 4.1 概述 4.2 器件原理 半导体二极管 4.2.1 PN 结 4.2.2 半导体二极管 4.2.3 二极管应用 4.2.4 特殊二极管 4.3 电热毯电路分析及测量 电热取暖器概述 : 4.1 概述 即把电能转换为热能供暖的家用电器 特点表现为 : 使用方便, 安全卫生,

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830 特点 : 热阻低导通电阻低栅极电荷低, 开关速度快输入阻抗高 符合 RoHS 规范 FEATURES FEATURES: LOW THERMAL RESISTANCE LOW RDS(ON) TO IMIZE CONDUCTIVE LOSS LOW GATE CHARGE FOR FAST SWITCHING HIGH INPUT RESISTANCE 应用 : 低压高频逆变电路续流电流保护电流 APPLICATION:

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

《电子线路》考纲

《电子线路》考纲 2016 年福建省高等职业教育入学考试 电子信息类专业基础知识考试大纲 本考试大纲以教育部中等职业学校电工技术基础与技能教学大纲和电子技术基础与技能教学大纲为依据, 以教育部和福建省教育厅公布的中等职业学校教学用书目录中本专业有关教材为主要参考教材 所涉及的考试范围主要包括中等职业学校信息技术类 加工制造类等专业均有开设的 电工技术基础与技能 和 电子技术基础与技能 课程, 主要测试考生理解和掌握有关基本理论

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

一 差动输入级 图 1 是最基本的差动 ( 差分 ) 输入级电路, 它由两个完全对称的单管放大器组合而成, 两个管的基极分别是正负输入端 一个输入端作为信号输入用, 另一个输入端作为反向输入末端负反馈用 因其能有效地抑制输出端的零点漂移而成为 OCL 电路的输入门户 输入级有单差动和双差动之别, 单

一 差动输入级 图 1 是最基本的差动 ( 差分 ) 输入级电路, 它由两个完全对称的单管放大器组合而成, 两个管的基极分别是正负输入端 一个输入端作为信号输入用, 另一个输入端作为反向输入末端负反馈用 因其能有效地抑制输出端的零点漂移而成为 OCL 电路的输入门户 输入级有单差动和双差动之别, 单 功放维修图解目前流行的功率放大器除采用集成电路功放外几乎都是用分立元件构成的 OCL 电路 基本电路由差动输入级 电压放大级 电流放大级 ( 推动级 ) 功率输出级和保护电路组成 附图 A 是结构框图 B 是实用电路例图, 有结构简单的基本电路形式, 也有增加了辅助电路和补偿电路的复杂电路形式 本文把常见的 OCL 电路分解成几块, 从电路的简单原理, 常见的电路构成, 检查时电路的识别, 维修的基本方法逐个进行介绍

More information

μ μ - - β- - μ

μ μ - - β- - μ SUA41 - β- - - - - - - -- - - - - - - - - - - - - - - - - - - - - - - - μ μ - - β- - μ μ - β- β- β- - - - - - - - - - - - - - - - - - - μ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

More information

Microsoft Word - 02

Microsoft Word - 02 第 章线性电路分析方法 本章主要内容 本章主要以电阻电路为例介绍几种常用的分析方法, 即支路电流法 节点电压法 叠加定理和戴维南定理 引例 常用的电路分为简单电路和复杂电路简单电路可用第 章所学的电路定律和等效变换的方法进行分析 ; 对于复杂电路, 例如图.0- 所示的电路是电桥测量电路, 当电桥不平衡时, 负载电阻 R 中就有电流 I, 电桥电路就有电压输出显然, 用前面所学的分析方法很难求出 I

More information

,.2018, 38,.1 :1, 220 ( ) 140, ;2,,,;3,,,, >180 ( ) >120,,, [10] :,,,,,,,, ( ), [6,11],,,,,, ( ), ( Ⅱ ),,, ( -6),,,,, -,, [2],, [12],, (

,.2018, 38,.1 :1, 220 ( ) 140, ;2,,,;3,,,, >180 ( ) >120,,, [10] :,,,,,,,, ( ), [6,11],,,,,, ( ), ( Ⅱ ),,, ( -6),,,,, -,, [2],, [12],, ( 2018 1 38 1,.2018, 38,.1 1 (2017 ),, :,, -:_@.;,, -:@.. ;,, -:@.;,, - :5588@126. [] ; ; ; :10.3969 /..1002-1949.2018.01.001 ( - ), ( ) ( ),,,, 25.2%, 2.7 [1],1% ~2% [2],, 6.9%, 90 11% 37%, 1 /4 [3] 12

More information

10 14 16 4 4 4 1 C.E.S. 54 5 1 1600 4 11 36 37 37 6 29 36 9 37 63 57 36 37 249 6 22 4 219 37 49 63 52 54 59 4 63 104 113 144 6 1 3 2 7 2 2 2 8 8 3 3 10 117 2 6 3 4 1 1 1 2 24 5 2 4 14 17 11 1 2 20

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

%! # # % % & # ( ) ( +, & +, +, & +, & +, +, &!

%! # # % % & # ( ) ( +, & +, +, & +, & +, +, &! %! # # % % & # ( ) ( +, & +, +, & +, & +, +, &! & &./ 0 # #1 # 2! +, 3 4 4 +,!!!! 4 4 4 4 4 56 7 89 #! 4! 4 4! 4 4! 14 #: 2 4! +,! +, 14 4 ; < = ( 4 < = +14 # : 1 1 4 # : : 3 # (4,! / +, +, +, > +,? 3

More information

_付_中认协注_2007_118号1.doc

_付_中认协注_2007_118号1.doc 1 2006-2-CQ00009 2 3 4 2006-1-CQ00005 2006-1-CQ00733 2006-1-CQ00002 5 2006-1-CQ00360 6 7 8 9 10 2006-1-CQ00358 2006-1-CE00114 2006-1-CQ00359 2006-1-CE00066 2006-1-CQ00185 11 2006-1-CE00212 12 13 14 15

More information

# ( + + # + # 6 +,! + # +! +, + # ( + ) ( + ( + ) + 7! + # + /8 + ) ( +! + #. + ( +, +! + # + # + + ( ! ( + ) ( + ) +, + ( + 9% +! +, + ( +

# ( + + # + # 6 +,! + # +! +, + # ( + ) ( + ( + ) + 7! + # + /8 + ) ( +! + #. + ( +, +! + # + # + + ( ! ( + ) ( + ) +, + ( + 9% +! +, + ( + ! ## % & (! ) # (! + ) (, ( + ) ( +! ( + + # + #! + ( + + ( + ) ( + + ( + # + ) +! ( + ( + # +! ( + ) + # ( + ) + # +! ( +. + / 0. + ( + # + # + +, + ) + + ) + 1!, ( 2 1 # 3 )! # ( 4 5 #3 (! # ( 4 # #

More information

用于混频器和检波器应用的肖特基二极管部件号 特点 市场 检波二极管 SMS 灵敏度佳 电容低 0201 WLAN 军事 基础架构及更多 SMS LF 灵敏度佳 电容低 0402 WLAN 军事 基础架构及更多 SMS LF 灵敏度佳 电容低 串联对 基础架

用于混频器和检波器应用的肖特基二极管部件号 特点 市场 检波二极管 SMS 灵敏度佳 电容低 0201 WLAN 军事 基础架构及更多 SMS LF 灵敏度佳 电容低 0402 WLAN 军事 基础架构及更多 SMS LF 灵敏度佳 电容低 串联对 基础架 市场 智能能源 蜂窝电话基础架构和手持设备 无线局域网 (WLAN) 汽车 智能电网远程抄表 测试和测量 军事通信 有线电视 (CATV) 点对点微波无线电通讯 陆地移动无线电系统 无线微波接入 (WiMAX) 无源光纤网络 (PON) PIN 限幅 肖特基 变容二极管 可用于样机或批量生产的二极管, 现货供应充足 Skyworks Solutions 提供丰富的系列二极管产品, 现货充足多样, 可满足您要求苛严的应用需求

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 ( 带控制端 ) MD73RXX 系列 300mA 特性 : 输出电压精度高 精度 ±2% 输出电流 300mA MD73RXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压 电路 由于内置有低通态电阻晶体管, 因而输入输出 压差低 同时具有高输入电压承受能力, 最高工作电 压可达 1V, 适合需要较高耐压的应用电路

More information

聚英考研网 : 厦大电子线路 ( 含模拟和数字 ) 复习全书 聚英人坚持 专业人做专业事, 为广大考研学子奉献 专业作品! 推荐指数 : 基本信息 教材名称 2018 厦门大学 846 电子线路 ( 含模拟和数字 ) 考研专业课复习全书 ( 含真题与答案 )

聚英考研网 :  厦大电子线路 ( 含模拟和数字 ) 复习全书 聚英人坚持 专业人做专业事, 为广大考研学子奉献 专业作品! 推荐指数 : 基本信息 教材名称 2018 厦门大学 846 电子线路 ( 含模拟和数字 ) 考研专业课复习全书 ( 含真题与答案 ) 聚英人坚持 专业人做专业事, 为广大考研学子奉献 专业作品! 推荐指数 : 基本信息 教材名称 2018 厦门大学 846 电子线路 ( 含模拟和数字 ) 考研专业课复习全书 ( 含真题与答案 ) 编著 聚英教育组编 页数 336 页 字数 114 千字 开本 16 开 出版日期 2017 年 3 月 购买地址 http://www.juyingonline.com/ziliao/details/135181

More information

06知识单元-集成运算放大电路

06知识单元-集成运算放大电路 6 6 S060B a b c b c v 0 = v 0 = v 0 = v f v0 = v S060G v 0 v S060I V- = V + V N = V P I- =0I + = 0 I = 0 S060N V IO I IO v = 0 vo=0 A od VO = ( V V ) S060B A od I o = I I A od = r d = r od = - 46 - A od

More information

中国土壤氡概况第一章.doc

中国土壤氡概况第一章.doc i 2003~2005 γ 500 18 ( ) ( ) (CIP) /. 2006 ISBN 7-03-016791-0 I. II. III. - - IV. S153.6 CIP (2006) 003453 2006 8 787 1092 1/16 * 2006 8 18 1/4 4 1~1 800 411 000 48.00 ( ) ii iii iv (GB 50325 2001) 5 2003

More information

PowerPoint Presentation

PowerPoint Presentation 半导体器件物理 第二章 P-N 结 科学出版社高等教育出版中心 引言 PN 结是几乎所有半导体器件的基本单元 除金属 - 半导体接触器件外, 所有结型器件都由 PN 结构成 PN 结本身也是一种器件 - 整流器 PN 结含有丰富的物理知识, 掌握 PN 结的物理原理是学习其它半导体器件器件物理的基础 由 P 型半导体和 N 型半导体实现冶金学接触 ( 原子级接触 ) 所形成的结构叫做 PN 结 任何两种物质

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

56,,,,, :,, 1953,, 1953,1953,,1953,,,,,,,,, () ,30118, 34, ;,4912 %,5614 %, 1,1953, 1119, ,, , , 1111 (

56,,,,, :,, 1953,, 1953,1953,,1953,,,,,,,,, () ,30118, 34, ;,4912 %,5614 %, 1,1953, 1119, ,, , , 1111 ( 2003 1 1812 ( 200433) :,,,,,, :1812 19 :, ;,,20, 1887 ;,1822 1887,,,1812 ( ) 9 :, ;,,;,,,,9,,,,,, :,1991,232 301 ::, :,1988 92 56,,,,, :,, 1953,, 1953,1953,,1953,,,,,,,,, () 1953 1 9518,30118, 34, 13313

More information