篇名

Size: px
Start display at page:

Download "篇名"

Transcription

1 篇名 利用 PLD 實現 3 對 8 解碼器 作者 : 蔡家翔 國立澎湖海事職校 資訊科三年甲班黃智軒 國立澎湖海事職校 資訊科三年甲班楊芊慧 國立澎湖海事職校 資訊科三年甲班

2 壹 前言 : 先前數位邏輯系統的設計法是依照所需的規格定義出輸入輸出 列出真值表 推導布林等式, 使用 K-map 或邏輯代數公式求出簡化後的邏輯方程式, 之後選用標準邏輯功能 IC(TTL CMOS 等 ) 印刷電路板 (PCB) 上建構電路缺點有 : 使用的 IC 元件多, 設計的整合性很低, 無法得到 Reliable Design PCB 面積大, 系統電路的成本高 局部設計改變時,PCB 板設計 re-design 的空間很小 為了克服上述缺點,PLD(Programmable Logic Device) 應運而生, 它解決了大規模 IC 不能實現在大範圍與高頻使用的缺點 近年來, 隨著科技的進步, 研發 IC 的領域不斷地發展, 技術也日益成熟, 同時並廣泛的運用在資訊科技的領域上, 由於種類的多樣化, 也使得使用者在使用 IC 上可以有更多的選擇 隨著課程進入到二年級下學期, 我們漸漸接觸到許多 IC, 是我們從來沒見過的, 而其中一項, 就是 PLD( 可程式邏輯設計 ), 深深引起我的興趣, 讓我想要更了解這個因應社會狀況而產生的 IC, 在一次機緣下, 我們參加了小論文研習, 在我們指導老師的推薦下, 就順勢報名參加, 也藉由這次機會, 讓我們能夠好好深入研究這顆深深引起我們興趣的 PLD 1

3 貳 正文 : 一 PLD 演進過程 : 1. 全訂製程 IC(Full Custom ICs) 從設計到製造的過程, 全依客戶所委託設計的 IC, 得到一個最佳電路整合, 在價格和性能上追求最佳的 solution, 但研發時間及人力花費很高 2. 晶胞元 IC(Cell Base ICs) 將邏輯電路中的模組以 Cell 方式建立, 於是我們可以將設計完成之 Cell 儲存成 Cell Library, 往後有需要此模組時可套用此應用 3. 閘陣列 (Gate Array) 由 CMOS 邏輯閘組成邏輯電路, 在內部使用 PMOS 及 NMOS 電晶體組成基本電路, 以陣列配置, 再依配線成為特定用 IC 積體電路廠商提供部分完成之電晶體佈局, 使用者構成之間的連線關係二 PLD 簡介 : 廣義的定義包含 PROM PLA PAL FPGA 狹義的定義只包括 PAL 和 PLA 圖一 PLD 的種類 2

4 1. SPLD(Simple PLD) 包括 PROM PAL PLA 這些元件是屬於雙層邏輯, 包含 AND 陣列及 OR 陣列, 任何函數都可表示成積項之和 (Sum Of Product) 三種 SPLD 之分類, 主要基於其 AND 陣列或 OR 陣列是否可規劃 A. SPLD PROM AND 陣列不可規劃,OR 陣列可規劃 圖二 PROM B. SPLD PLA 由一串的 AND 閘 OR 閘 反相器透過可程式開關陣列所連接起來的 圖三 PLA 3

5 C. SPLD PAL 可程式的 OR 陣列被一組從 AND 閘到 OR 閘的固定接線所取代, 只能程式化欲 組成的積項 圖四 PAL D. SPLD PLA 和 PAL 若沒有加上外部的正反器, 就無法用於循序邏輯電路 所以正反器 會被加到 PAL 的架構中, 這種電路就稱為簡易可程式邏輯裝置 SPLD(Simple PLD) 多工器 (multiplexer) 會加到每個輸出, 用以選擇是正反器輸出或是組合電路的輸 出 ; 這些 AND 閘 OR 閘 正反器和多工器都是用來共同驅動每個輸出, 這就 是巨集格 macrocell PAL + Filp Flops + Multiplexers = SPLD E. SPLD cont. 4

6 圖五 SPLD cont. 2. CPLD Complex PLD 整合更多 SPLD Logic Blocks 及相互關係連線架構 (Switch matrix), 具有高整合性 的特點, 故能提升性能 可靠度 降低成本 時間與 PCB 面積等優點 圖六 Complex PLD 5

7 A. CPLD cont. CPLD 是由多個 SPLD 組裝進單一的 IC 裡 Xilinx XC9500 的 CPLD-XC95108 包含了六個可組態化功能區塊 (CLB), 每個 CLB 相當於一個有 18 個巨集格 36 個輸入 18 個輸出的 SPLD 利用每個可 組態化功能區塊裡的巨集格和經由開關矩陣去連接它們, 非常複雜的多層邏輯函數就可以簡單的被建構 圖七 CPLD cont. 3. FPGA ( Field Programmable Gate Array) 應用在設計使用者的原型機 (Prototype) 或少量生產之產品 FPGA 內部 Logic Block 連接需依 Switch Matrix 來構成使用者的系統, 處理速度比 VLSI 慢 各家的 FPGA 構造有相當大的差異, 可分為三大類 : A. 查表型 (LUT:Look Up Tables)-Xilinx,Altera,AT&T B. 多工器型 (MPX:Multiplexer type)-actel,quick Logic C. 電晶體陣列型 -Cross Point 6

8 以規構架構可分為 : D. SRAM-Xilinx,Altera,AT&T,Atmel E. Anti-fuse-Actel,Cypress,Quicklogic A. FPGA cont. 1. SRAM 類型的 FPGA 具有重複程式化的優點, 適合用來實作邏輯設計 與功能性驗證 Anti-fuse 其邏輯閘數可用性較高, 應用電路較為簡單, 單 價也較便宜, 小量產品的需求較適宜 2. FPGA 產品主要用途包含 I/O 介面控制 資料路徑傳輸 暫存器介面控 制 PCI 介面等 3. 由 LUT(Lookup Table) 所組成的 一般的 LUT 只有 4 個輸入和一個 16 位元的記憶體 輸入訊號到電路後, 會對應到一個特定的記憶體位址, 接著輸出此位址的內容 任何 4 位元輸入的邏輯函數都能被可程式邏輯的 LUT 元所建立 舉例 : 一個 4 位元輸入 AND 閘的建構方式法 - 先將所有的位元載入記憶體內, 如果所有的位元皆為 1, 則輸出為 1, 而其他的情況輸出為 0 4. 在 FPGA 中, 如 XILINX XC4000 系列, 一個可組態邏輯區塊 CLB(Configurable Logic Block) 是由 3 個 LUT 2 個正反器和一些控制電路所組成的 B. FPGA XC4000 XC4000 系列結構 : 由許多個 Configurable Logic Cell 配線通道及開關矩陣(Switch Matrix) 及 I/O Block 組成 7

9 圖八 XC4000 系列結構 圖九 XC4000 系列結構電路轉換 8

10 除錯數位電路模擬閘層級設構數位電路立閘層級設計得規格出布林等利用 PLD 實現 3 對 8 解碼器 4. CAD & PLD 對於一般電路, 可以使用電腦模擬程式, 來檢查邏輯電路的運作情況 在正確的模擬下, 用放置與繞線 (place & route) 或是裝配 (fitter) 程式, 將電路上的邏輯閘和接線映射到 FPGA 或是 CPLD IC 中 使用這類的軟體, 決定裝置內的邏輯閘如何連接, 進而建構出邏輯電路 程式的輸出是個位元串組態檔 (bit-stream configuration file), 能夠下載到指定的 FPLD(Field Programmable Logic Device ) 上, 之後便可以執行所設計的功能 5. 數位設計程序的自動化取定義立輸真出值輸入建表導式建計建可自動化 圖十數位設計程序的自動化 三 利用 PLD 實現電路 : 1. 材料表 名稱規格數量備註 發光二極體 3 mmψ, 紅色 8 免銲萬用電路板 任何廠牌均可 1 PVC 單心線 0.6 mmψ, 鍍錫 若干 電阻 330Ω*7 1 通用型陣列邏輯 GAL 16V8 1 9

11 2. 3 對 8 解碼器介紹 圖十一解碼器 如圖十一所示, 輸入編碼的線數有 3 條, 輸出線有 8 條 (2 3 =8), 此解碼器稱為 3 線至 8 線解碼器或 3 對 8 線解碼器 (3 to 8 Decoder), 但有的資料手冊是以輸出數量命名, 故又稱為 8 之 1 解碼器 (1-of-8 Decoder) 在圖 中, 當 D2D1D0=000 時 ; Y0 動作, 當 D2D1D0=001 時 ;Y1 動作, 同理, 當 D2D1D0=111 時 ;Y7 動作 由於 n 線至 2 n 線解碼器的解碼輸出有唯一性, 因此電路設計上就變得相當單純化, 以圖十一而言 : Y0 (D0 D1 D2)=Σ(0)= D2' D1' D0' Y1 (D0 D1 D2)=Σ(1)= D2' D1' D0 如此類推至 Y7 (D0 D1 D2)=Σ(7)= D2 D1 D0 3. 實驗過程 : A. 電路圖 10

12 B. 真值表輸入致能選擇 輸 出 G1 G2A G2B C B A Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y 註 : 1: 高態,0: 低態, : 隨意 11

13 C. 程式架構 : CHIP GATE PAL16V8 ; 宣告段之元件名稱欄, 其中 GATE 係自定, 一般表示電路名稱 ;[ 以下為宣告段之腳位定義欄 ] PIN 2 A ; 第 2 腳為輸入腳, 名稱為 A PIN 3 B ; 第 3 腳為輸入腳, 名稱為 B PIN 4 C ; 第 3 腳為輸入腳, 名稱為 C PIN 7 G1 ; 第 4 腳為輸入腳, 名稱為 G1 PIN 8 G2A ; 第 5 腳為輸入腳, 名稱為 G2A PIN 9 G2B ; 第 6 腳為輸入腳, 名稱為 G2B PIN 12 Y0 COMB ; 第 12 腳為輸出腳, 名稱為 Y0 PIN 13 Y1 COMB ; 第 13 腳為輸出腳, 名稱為 Y1 PIN 14 Y2 COMB ; 第 14 腳為輸出腳, 名稱為 Y2 PIN 15 Y3 COMB ; 第 15 腳為輸出腳, 名稱為 Y3 PIN 16 Y4 COMB ; 第 16 腳為輸出腳, 名稱為 Y4 PIN 17 Y5 COMB ; 第 17 腳為輸出腳, 名稱為 Y5 PIN 18 Y6 COMB ; 第 18 腳為輸出腳, 名稱為 Y6 PIN 19 Y7 COMB ; 第 19 腳為輸出腳, 名稱為 Y7 ;[ 以下為布林方程式段 ] EQUATIONS /Y0=G1*/G2A*/G2B*/C*/B*/A ; 若輸出腳名稱前無 / 則成為高態輸出 /Y1=G1*/G2A*/G2B*/C*/B*A ; 若輸出腳名稱前加 / 則成為低態輸出 /Y2=G1*/G2A*/G2B*/C*B*/A ; / 代表 NOT /Y3=G1*/G2A*/G2B*/C*B*A /Y4=G1*/G2A*/G2B*C*/B*/A /Y5=G1*/G2A*/G2B*C*/B*A /Y6=G1*/G2A*/G2B*C*B*/A /Y7=G1*/G2A*/G2B*C*B*A D. 流程圖 : 12

14 將編輯好的程式 放入程式 Palasm 進行轉檔 開啟程式 LP-10 接上電源, 進行測試 將完成的 IC 插入麵包板 並將程式燒入 IC 設定完成後 將 IC 放入硬體 LP-10 燒錄器中 四 執行結果驗證 : 當 Y0=0 時, 輸入狀態為 :G1=1,G2A=0, G2B=0,C=0,B=0,A=0 13

15 當 Y1=0 時, 輸入狀態為 :G1=1,G2A=0, G2B=0,C=0,B=0,A=1 當 Y2=0 時, 輸入狀態為 :G1=1,G2A=0, G2B=0,C=0,B=1,A=0 14

16 當 Y3=0 時, 輸入狀態為 :G1=1,G2A=0,G2B=0,C=0,B=1,A=1 當 Y4=0 時, 輸入狀態為 :G1=1,G2A=0,G2B=0,C=1,B=0,A=0 當 Y5=0 時, 輸入狀態為 :G1=1,G2A=0,G2B=0,C=1,B=0,A=1 15

17 當 Y6=0 時, 輸入狀態為 :G1=1,G2A=0, G2B=0,C=1,B=1,A=0 當 Y7=0 時, 輸入狀態為 :G1=1,G2A=0, G2B=0,C=1,B=1,A=1 16

18 參 結論 : 很高興能參加這次高中職小論文比賽, 藉由這次專題, 使我們在 IC 辨認與應用的能力上有了很大的提升, 從最初我們製作一個電路可能需要用上非常多顆的 IC 與 GATE, 到現在製作一個相同的電路可能只需要用到一顆 PLD 與單心線, 使得我們深深佩服那些將以前的智慧吸收而再創新的科學家 並且也因為這次專題的關係, 讓我們參閱了許多書籍 ( 註三 )( 註四 ) 與閱讀了許多資料( 註一 ) ( 註二 ), 有些資料都是以前很少去接觸的 也有些資料是根本沒去看過的, 在完成這次專題後, 讓我們受益良多 而在另一方面, 讓我們在英文能力上也有不少的收穫, 因為其中有著許多是參雜著英文的專有名詞 並且我們認為這次比賽是在體驗研究過程的樂趣, 而不是在意有沒有得獎, 所以我們只是淺談 PLD 沒去深入的進行研究, 但是我們相信在 IC 的領域中是非常廣大的, 同樣的也很多人從事這方面的研究與開發, 也希望以後還能夠有機會在從事這方面的研究與設計 肆 引註資料 : 註一 網路擷取 ( 檢索日期 :2008/05/15) 註二 PLD 說明 : 註三 數位邏輯學 ( 檢索日期 : 2008/05/15) 註四 數位邏輯 陳以熙 黃慶璋 ( 台北縣 : 全華, 民 97) 頁 註五 解碼器說明 : ( 檢索日期 :2008/05/23) 17

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

萬用閘的應用

萬用閘的應用 篇名 萬用閘的應用 作者 吳依珊 國立澎湖海事資訊科二年級甲班吳珮琪 國立澎湖海事資訊科二年級甲班王靜婷 國立澎湖海事資訊科二年級甲班 - 1 - 壹 前言 萬用閘的應用 電腦實際上並不會瞭解我們指派給它的任務及資訊, 藉由判斷每一個積體電路開或關的狀態, 並將這些訊號轉成 0 與 1 的的數位訊號, 組合成一組數字, 並轉換成欲執行的指令 現代的電腦系統以位元 (bit,binary digit)

More information

Microsoft PowerPoint - chap09

Microsoft PowerPoint - chap09 第 9 章 多工器 解碼器與可程式 規劃邏輯元件 簡介 小型積體電路 (SSI): 功能包括 NAND NOR AND 和 OR 閘 反相器及正反器 SSI 積體電路內典型的包裝通常具有 1 到 4 個閘,6, 個反相器, 或 1 或 2 個正反器 中型積體電路 (MSI): 如加法器, 多工器, 解碼器, 暫存器, 及計數器 包裝大概含有相當於 12 到 100 個閘 大型積體電路 (LSI):

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 6200 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對應低階顯示卡到主要規格之項次 ) ( 備註 : 對應 1-1-2. 繪圖介面 : 支援 AGP 介面 8X( 含 ) 以上高速繪圖晶片項次為第 1 1-1-3. 提供 128MB 64 位元 DDR SDRAM(

More information

<4D F736F F D20A7EBBCD0B6B7AABEAAFEA5F3322D3935A67EB2C432A6B8B2C433B2D5C5E3A5DCA564B357BD64AED12E646F63>

<4D F736F F D20A7EBBCD0B6B7AABEAAFEA5F3322D3935A67EB2C432A6B8B2C433B2D5C5E3A5DCA564B357BD64AED12E646F63> 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 6600 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對應低階顯示卡到主要規格之項次 ) ( 備註 : 對應 1-1-2. 繪圖介面 : 支援 AGP 介面 8X( 含 ) 以上高速繪圖晶片項次為第 1 1-1-3. 提供 128MB 64 位元 DDR SDRAM(

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 7600 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對低階顯示卡應到主要規格之項次 ) ( 備註 : 對應 1-1-2. 繪圖介面 : 支援 AGP 介面 8X( 含 ) 以上高速繪圖晶片項次為第 1 1-1-3. 提供 128MB 64 位元 DDR SDRAM(

More information

積體電路設計方法

積體電路設計方法 積體電路設計方法 賴源泰 電機系 成功大學 1 積體電路時代 Transistors integrated on a single chip 10-100 in 1960 1K-20K in 1970 20K-500K in 1980 10M-20M in 1990 2 積體電路時代 Minimum line width in mass production 5μm in 1977 2μm in 1984

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 NVIDIA 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 256MB

More information

電腦設備LP _第七組顯示卡規範書

電腦設備LP _第七組顯示卡規範書 第七組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 210 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 2.0( 含 ) 以上高速繪圖晶片 210 低階顯 1-1-3. 提供 512MB DDR2 SDRAM( 含 ) 以上顯示記憶體, 具 2560

More information

cost downoem Original Equipment Manufacture value up ODM Original Design Manufacture value addedobm Original Brand Manufacture a OEM ODM OBM O

cost downoem Original Equipment Manufacture value up ODM Original Design Manufacture value addedobm Original Brand Manufacture a OEM ODM OBM O * 林榮泰 2009 21 2008 OEM OBM * 32 cost downoem Original Equipment Manufacture value up ODM Original Design Manufacture value addedobm Original Brand Manufacture2006 2005a OEM ODM OBM OEM ODM OBM OEM OBM

More information

Microsoft Word - LP doc

Microsoft Word - LP doc 第七組顯示卡規範書及答標單 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 512MB DDR2 SDRAM(

More information

電腦設備LP 第七組顯示卡規範書

電腦設備LP 第七組顯示卡規範書 第七組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 512MB DDR2 SDRAM(

More information

Microsoft PowerPoint - chap07.ppt

Microsoft PowerPoint - chap07.ppt 第 7 章 多階閘電路 /NAND 和 NOR 閘 多階閘電路 階數 (level): 在一個電路的輸入和輸出之間所能串接的最大閘數稱為閘的階數 AND-OR 電路 OR-AND 電路 OR-AND AND-OR 電路 AND 和 OR 閘電路 Chap 7 2 多階閘電路 ( 續 1) 對於一個 AND-OR 電路, 我們可以分解分解其所導出的積項和表示式來增加它的階數 對於一個 OR-AND 電路,

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

600 500 400 300 200 100 0 2007 2008 2009 2010 2014 2015 503 2005 2006 2007 2008 2009 2010 2011(e) 2015(f) ( ) 200 260 340 400 310 450 503 900 4,000 5,000 6,000 7,000 7,000 8,000 9,000 20,000 4 PMC was

More information

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆 Autodesk Product Design Suite Standard 20122 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆的產品設計計 Autodesk Product Design Suite Standard 版本中中包括以下軟體體產品

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

公安机关业务管理与执法实务全书(八).doc

公安机关业务管理与执法实务全书(八).doc ............................................. I ........................... ( )......... II ,, , , ( ) ( ) ( ) ( ) : ( ) ; ( ) ; ( ) ( ) ; ( ) ; ( ) ( ) ; ( ),, : , : ( ) ; ( ), ; ( ) ; ( ) : ( ) ; ( )

More information

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路 2015 年 容 器 检 验 员 取 证 邮 寄 证 书 查 询 邮 寄 日 期 2016.2.26 查 询 网 址 http://yjcx.chinapost.com.cn/zdxt/yjcx/ 省 份 姓 名 工 作 单 位 通 信 地 址 邮 政 编 码 挂 号 号 码 山 东 丁 宪 振 山 东 省 特 种 设 备 检 验 研 究 院 山 东 省 济 南 市 高 新 区 天 辰 大 街 939

More information

<A4E2BEF7B4FAB8D5B3F8A F52322E786C7378>

<A4E2BEF7B4FAB8D5B3F8A F52322E786C7378> 製表日期 : 2008 年 9 月 17 日 Mobile Java Applet 手機安裝測試報告表 已完成測試機型數量 :317 台 ; 無問題 ( 可安裝 / 可執行 ) 機型 :315 台無法使用 :2 台 ; 特殊註記機型 :2 台 廠牌 機型 測試狀況 OK 不 OK 安裝資料夾 ( 目錄 ) 備註 NOKIA N95 應用程式 NOKIA 6110 應用程式 NOKIA 3120 應用程式

More information

DIY香草植物乾燥

DIY香草植物乾燥 中華民國第四十五屆中小學科學展覽會作品說明書 高職組農業及生物科技科 第一名 091405 DIY 香草植物乾燥 - 迷迭香 國立民雄高級農工職業學校 作者姓名 : 職三黃宗榮 職三徐薪發 職三黃梓厚職三嚴翰盛 指導老師 : 吳慶源 李卓曄 DIY - (3) 5. 105 1 2 3 4 5 12 3 4 5avg 51.35 51.1 51.2 51.35 51.05 137.73

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 圖形編輯設計法 圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 建立電路符號檔 1.執行 File Create/ Update Create Symbol Files for Current File 時 可將目前的半加法器建立一個電路符號 Halfadd.bsf 3 建立電路符號檔 2.利用 File Open 開啟Halfadd.bsf檔案時 便可查看所建 立的電路符號 Halfadd

More information

11.9_new_.doc

11.9_new_.doc 投稿類別 : 工程技術類 篇名 : 作者 : 蕭偉祥 臺北市立大安高級工業職業學校 電機三乙 黃星耀 臺北市立大安高級工業職業學校 電機三乙 指導老師 : 黃啟銘老師 邱關誼老師 壹 前言 本研究是利用 555 震盪器以及 CD4017B 兩顆 IC 所構成的電子電路, 並運用 在幸運輪盤的電路設計, 再配合著四位元加法器對 7448 的七段顯示器輸出, 讓 動作更加明瞭生動 一 製作動機 : 在學校的電子學實習中,

More information

Microsoft PowerPoint - chap07.ppt

Microsoft PowerPoint - chap07.ppt 第七章 記憶體和可程式邏輯 記憶體和可程式邏輯 隨機存取記憶體 記憶體的解碼 錯誤的檢測及更正 唯讀記憶體 可規劃邏輯陣列 可規劃陣列邏輯 循序可規劃裝置 1 記憶體 何謂記憶體 儲存格的集合, 用來儲存大量二元資訊的一種裝置 記憶體的種類 隨機存取記憶體 (random access memory ; RAM) 唯讀記憶體 (read-only memory; ROM) 記憶體寫入 (write)

More information

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2 Chapter II 軟硬之間 電腦的程式與硬體 1 資料的二進位表示法 資訊在電腦外部及內部表示法上的不同 2 資料的二進位表示法 二進位與十進位轉換表 3 資料的二進位表示法 1035 0000010000001011-1035 直接符號 1000010000001011 1 s 補數 1111101111110100 2 s 補數 1111101111110101 負整數表示法 4 資料的二進位表示法

More information

Microsoft Word - PLC與GP接線說明_缺WDH_2.doc

Microsoft Word - PLC與GP接線說明_缺WDH_2.doc PC 與 HMI 下載線路圖 HMI PC 1 RS422 R+ 2 RS232 RX 3 RS232 TX 2 RS232 RX 3 RS232 TX 4RS485 D+/RS422 T+ 6 RS422 R- 7 RS232 RTS 8 RS232 CTS 7 RS232 RTS 8 RS232CTS 9RS485 D-/RS422 T- SH( 外殼 ) DB9( 母 ) 接頭 SH( 外殼

More information

《中华人民共和国道路交通安全法》释义

《中华人民共和国道路交通安全法》释义 中 华 人 民 共 和 国 道 路 交 通 安 全 法 释 义 第 一 章 总 则 总 则 是 一 部 法 律 法 规 纲 领 性 概 括 性 的 规 定, 为 其 他 各 章 的 具 体 规 范 奠 定 基 础 其 他 各 章 的 内 容 必 须 体 现 总 则 确 定 的 基 本 原 则, 具 体 运 用 时 也 必 须 符 合 总 则 确 定 的 原 则 和 精 神 道 路 交 通 安 全 法

More information

<4F4B5F4C50352D B971B8A3B35DB3C6A655B2D5A9FAB2D32E786C73>

<4F4B5F4C50352D B971B8A3B35DB3C6A655B2D5A9FAB2D32E786C73> [ 回中信局首頁 ] 1 筆記型電腦記憶體模組 Unbuffered SO-DIMM DDR2 800 1.8V 2GB 200PIN 1189 Silicon Power DDR2 800 SO-DIMM - 2GB 200PIN 臺灣沒跟進 2 筆記型電腦記憶體模組 Unbuffered SO-DIMM DDR2 800 1.8V 4GB 200PIN 3 筆記型電腦記憶體模組 Unbuffered

More information

Microsoft Word - 數位邏輯學科題庫_500題_ doc

Microsoft Word - 數位邏輯學科題庫_500題_ doc 數 位 邏 輯 設 計 丙 級 能 力 認 證 學 科 應 試 題 庫 (500) 答 案 題 號 題 目 下 圖 電 路 符 號 為 何 種 邏 輯 閘? D 1 反 或 (NOR) 閘 (B) 或 (OR) 閘 (C) 互 斥 或 (XOR) 閘 (D) 反 互 斥 或 (XNOR) 閘 請 問 下 列 哪 一 個 電 路 符 號, 符 合 下 列 真 值 表? C 2 (B) (C) (D)

More information

PICkit2 燒寫器編程器調試器

PICkit2 燒寫器編程器調試器 PICkit2 燒寫器編程器調試器 Part No:MP-Micro-PIC-PICkit2 PICKit2 功能簡介 : PICKIT2 能夠對 Microchip 的 PIC10/12/16/18/24/32 dspic30/dspic33 等幾乎全系列 PIC 的 FLASH 單片機晶片進行線上編程和偵錯 並且 PICKIT2 能夠對 Microchip 的 KEELOQ HCS 系列晶片 MCP250xx

More information

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire wound type widely used in the communication applications, such as cellular phones, television tuners, radios, and other electronic devices. The

More information

(FDA )

(FDA ) 9-a (Rotational Molding) (Injection) (Blow) : (Fixed-Arm Turrent Machine) (rock-and-roll) (FDA ) - PC-800L 73930 20 DOW ICI Exxan LLDPE =0% (EPOXY) ( ) v 1. 2. 1. 2. 1. 2. 3. 4. 1. 2. 3. 4. 5. 1. 2.

More information

一 國家品質 5 10 象 : 8 7 ~ 二 卓越中堅企業及重點輔導象遴選 ,

一 國家品質 5 10 象 : 8 7 ~ 二 卓越中堅企業及重點輔導象遴選 , 貳 一 國家品質 二 卓越中堅企業及重點輔導象遴選 三 工業精銳 四 金點設計 五 台灣國際創意設計大賽 六 資訊服務應用創新競賽 七 輕金屬創新應用競賽 八 智慧型機器人產品創意競賽 九 數位內容系列競賽 十 手提包創新設計競賽 十一 時裝設計新人 十二 全國紡織技術論文競賽 一 國家品質 5 10 象 : 8 7 ~8 2435 二 卓越中堅企業及重點輔導象遴選 101 10 8 200 2,000

More information

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Announcement...P2 I. Introduction.....P3 II. Problem Statement..P3

More information

(Microsoft PowerPoint -

(Microsoft PowerPoint - 第一章 數位邏輯積體電路 PLD FPGA 及 ASIC 之設計觀念 積體電路 製程技術 從微米 (micron, micro-meter) 次微米 (submicron) 到深次微米 (deeply submicron) 至所謂的奈米級之製程技術 積體電路技術 LSI (Large Scale Integrated Circuit, 約數仟 Gate) 超大型積體電路 (VLSI, Very Large

More information

二次曲線 人們對於曲線的使用及欣賞 比曲線被視為一種數學題材來探討要早 得多 各種曲線中 在日常生活常接觸的 當然比較容易引起人們的興趣 比如 投擲籃球的路徑是拋物線 盤子的形狀有圓形或橢圓形 雙曲線 是較不常見的 然而根據科學家的研究 彗星的運行軌道是雙曲線的一部 分 我們將拋物線 圓與橢圓 雙曲

二次曲線 人們對於曲線的使用及欣賞 比曲線被視為一種數學題材來探討要早 得多 各種曲線中 在日常生活常接觸的 當然比較容易引起人們的興趣 比如 投擲籃球的路徑是拋物線 盤子的形狀有圓形或橢圓形 雙曲線 是較不常見的 然而根據科學家的研究 彗星的運行軌道是雙曲線的一部 分 我們將拋物線 圓與橢圓 雙曲 -1 圓方程式 第 章 二次曲線 38 二次曲線 人們對於曲線的使用及欣賞 比曲線被視為一種數學題材來探討要早 得多 各種曲線中 在日常生活常接觸的 當然比較容易引起人們的興趣 比如 投擲籃球的路徑是拋物線 盤子的形狀有圓形或橢圓形 雙曲線 是較不常見的 然而根據科學家的研究 彗星的運行軌道是雙曲線的一部 分 我們將拋物線 圓與橢圓 雙曲線合稱為圓錐曲線 因為在平面坐標 系中 其對應的方程式均為二元二次式

More information

<4D F736F F D20B8C9A552B8EAAEC62D54544CB0F2A5BBB968>

<4D F736F F D20B8C9A552B8EAAEC62D54544CB0F2A5BBB968> 關於布林代數與邏輯閘 補充資料 : 關於布林代數與邏輯閘 數字系統 : 十進位 (Decimal) 二進位 (Binary) 八進位 (Octal) 十六進位 (Hexadecimal) 十進位 (Decimal) 二進位 (Binary) 二進位轉換成十進位的方法 0 0 0 0 1 1 0 1 10 1 0 1 + 0 1 0 3 11 1 + 1 1 0 4 100 1 + 0 + 0 1 0

More information

untitled

untitled (field effect transistor FET) 都 不 理 不 FET (gate G ) FET (source S ) FET (drain D ) 流 流 不 流 流 洞流 利 流來 n (n-channel FET) 利 洞流來 p (p-channel FET)n FET n (channel) 流 流 p FET 洞 p (channel) 流 流 來 類 1 n p FET

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

Microsoft Word - 大事记.doc

Microsoft Word - 大事记.doc 大 事 记 目 录 前 言...3 1945 年...4 1946 年...4 1947 年...9 1948 年...11 1949 年...14 1950 年...18 1951 年...21 1952 年...24 1953 年...26 1954 年...28 1955 年...32 1956 年...34 1957 年...37 1958 年...40 1959 年...43 1960 年...47

More information

( ) ( ( ( ( , ) 13 ( ) ( (2012 ) ( ( 16 ( ( ( ( 292 ( ( (1

( ) ( ( ( ( , ) 13 ( ) ( (2012 ) ( ( 16 ( ( ( ( 292 ( ( (1 ( 155 1 ( 2014 11 2 ( 2005 29 3 ( 2012 13 4 ( 2014 12 5 ( 2014 9 6 ( 2014 9 7 ( 2014 1431 8 ( ( 2003 4 2003 54 9 ( 2008 7 ( 2013 1890 3 ( ) ( 10 2004 16 ( 2000 2433 ( 11 2014 5 12 (1990 2 20, 1990 11 )

More information

B3B2B1B0 CA CB CC CD CE CF CG

B3B2B1B0 CA CB CC CD CE CF CG Lab7:7 段顯示器控制電路 [ 實驗說明 ] : 這一個範例將分成兩階段 首先使用 ISE12.4 先進行叫用 Seven_Segmenet.vhd 模組建立 4bit HEX 轉換 7 段顯示器控制電路練習 接著再使用 Lab1 所設計的 2:4 解碼器與 Lab4 四位元加法器電路利用 Schematic 階層式設計快速建立加法器和輸出到 7 段顯示器的控制電路 你將開啟一個 Seven_Segmenet_Adder.sch

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

The golden pins of the PCI card can be oxidized after months or years

The golden pins of the PCI card can be oxidized after months or years Q. 如何在 LabWindows/CVI 編譯 DAQ Card 程式? A: 請參考至下列步驟 : 步驟 1: 安裝驅動程式 1. 安裝 UniDAQ 驅動程式 UniDAQ 驅動程式下載位置 : CD:\NAPDOS\PCI\UniDAQ\DLL\Driver\ ftp://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/unidaq/dll/driver/

More information

中華民國 第49屆中小學科學展覽會

中華民國 第49屆中小學科學展覽會 中華民國第 49 屆中小學科學展覽會 作品說明書 高中組生活與應用科學科 040814 太陽能光控節能窗簾 學校名稱 : 基隆市私立二信高級中學 作者 : 指導老師 : 高二許栢豪 王永富 高二林宸漢 高二謝誌倫 高二許硯鈞 關鍵詞 : 太陽能 光控電路 窗簾 CO2 1 6 1900 1 3 84 580 CO2 1-1 2003 CO2 4.57 CO2 1.43 1-2 1-1 CO2 1-2

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

10-2 SCJP SCJD 10.1 昇陽認證 Java 系統開發工程師 的認證程序 Java IT SCJD

10-2 SCJP SCJD 10.1 昇陽認證 Java 系統開發工程師 的認證程序 Java IT SCJD 10 SCJD 簡介 Java 10-2 SCJP SCJD 10.1 昇陽認證 Java 系統開發工程師 的認證程序 Java IT SCJD 10 SCJD 10-3 Java Java SCJD 7 Swing RMI 10.1.1 The Assignment The Essay 9 10 10-4 SCJP SCJD 90 10.1.2 SCJP Java 90 120 Swing 10

More information

1970 新技術的應用 X = 20 + B 13B δ13c X 1 X

1970 新技術的應用 X = 20 + B 13B δ13c X 1 X 凡發生過的必留下痕跡 同位素分析的考古應用? 如何考古 06 2013 9 489 經由人骨中碳和氮同位素的分析, 提供考古學家另一個探討古代攝食系統的途徑 另外, 可以藉由鍶同位素分析了解人群的來源與遷移過程 1970 新技術的應用 13 15 13 12 15 14 13 15 13 12 15 13 15 13 X = 20 + B 13B δ13c X 1 X 2013 9 489 07 δ

More information

Microsoft Word - ACL chapter02-5ed.docx

Microsoft Word - ACL chapter02-5ed.docx 第 2 章神奇的質數 2.1.1 什麼是質數 1 1 1 打下好基礎 - 程式設計必修的數學思維與邏輯訓練 1 1 0 10 2 3 5 7 4 6 8 9 10 4 10000 1229 1000 168 2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97 101 103 107 109 113 127 131

More information

第一組個人電腦主機

第一組個人電腦主機 第一組個人電腦主機 項次 1 2 3 4 Intel Celeron 2.6GHz Intel Celeron 2.6GHz Intel Celeron 2.6GHz Intel Celeron 2.6GHz 契約金額 ( 含稅 ) NT$13,593 NT$13,380 NT$10,394 NT$10,181 環保證號 :4769 號 Veriton M275 Intel Celeron E3400

More information

學測精彩析 第壹部分 ( 占 84 分 ) 楊慧媛老師聯合題 師大附中 姚翰玲老師聯合題 梁蕙蓉老師聯合題 說明 : 第 1 題皆計分 第 1 題皆是單選題, 請選出一個最適當的選項標示 在答案卡之 選擇題答案區 每題答對得 2 分, 答錯不倒扣 1 4 A B C D 圖一 答案

學測精彩析 第壹部分 ( 占 84 分 ) 楊慧媛老師聯合題 師大附中 姚翰玲老師聯合題 梁蕙蓉老師聯合題 說明 : 第 1 題皆計分 第 1 題皆是單選題, 請選出一個最適當的選項標示 在答案卡之 選擇題答案區 每題答對得 2 分, 答錯不倒扣 1 4 A B C D 圖一 答案 歷史 : 師大附中 / 楊慧媛地理 : 師大附中 / 姚翰玲三民主義與現代社會 : 師大附中 / 梁蕙蓉 老師老師老師 76 (06)2619621#314 http: www.worldone.com.tw http: www.hle.com.tw E-mail:periodical@hanlin.com.tw 學測精彩析 第壹部分 ( 占 84 分 ) 楊慧媛老師聯合題 師大附中 姚翰玲老師聯合題

More information

1 10

1 10 中華民國第四十六屆中小學科學展覽會作品說明書 國小組生活與應用科學科 第三名 080826 驅蟑達人 皂 得住 ~ 天然環保驅蟑皂 學校名稱 : 臺北縣永和市秀朗國民小學 作者 : 小五田乃嘉 小五張志宏 指導老師 : 朱中梧 吳錦勳 小五蔡承軒 關鍵詞 : 美洲蟑螂 趨避性 植物 1 10 1. 2. 3. 4. 10cc 5. 6. 7. 8. 9. 75% 2 1-2 10 1 5 8 7 6

More information

广东省公安厅关于贯彻执行《社会消防技术服务管理规定》及其配套文件的通知

广东省公安厅关于贯彻执行《社会消防技术服务管理规定》及其配套文件的通知 关 于 贯 彻 执 行 社 会 消 防 技 术 服 务 管 理 规 定 及 其 配 套 文 件 的 通 知 各 地 级 以 上 市 公 安 局, 顺 德 区 公 安 局 : 现 将 公 安 部 社 会 消 防 技 术 服 务 管 理 规 定 ( 公 安 部 令 第 129 号, 以 下 简 称 规 定, 见 附 件 1) 及 消 防 技 术 服 务 监 督 管 理 法 律 文 书 ( 式 样 ) (

More information

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 Excel - - Excel - -4-5 840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 ( 0 ) 智慧標籤 相關說明提示 -5 -- Excel 4 5 6 7 8 + - * / % ^ = < >= & 9 0 (:) (,) ( ) Chapter - :,

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

Microsoft Word - CH01-02.doc

Microsoft Word - CH01-02.doc 目錄 序言 第一篇組合邏輯基本概念與軟 / 硬體工具的認識 第一章組合邏輯的基礎概念 一 基本邏輯閘的真值表及其特性... 1-3 二 基本邏輯閘的相互取代... 1-6 三 邏輯電路的化簡... 1-9 第二章 CPLD 組合邏輯電路設計與軟 / 硬體模擬 QUARTUS II 軟體 數位邏輯設計認證 CPLD 燒錄實習板 一 QUARTUS II 環境的認識... 2-3 二 建立一個 CPLD

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

1.1 1 () 擴展學習領域 () () 力求卓越創新 發皇通識教育 厚植職場發展的競爭能力 拓展國際交流 e 把握資訊網路的科技應用 () 精緻教育的學校特色 提升行政效率 發揮有效人力的整體力量 達成精緻大學的師資結構 勵應用科技的研發能力 在策略執行上

1.1 1 () 擴展學習領域 () () 力求卓越創新 發皇通識教育 厚植職場發展的競爭能力 拓展國際交流 e 把握資訊網路的科技應用 () 精緻教育的學校特色 提升行政效率 發揮有效人力的整體力量 達成精緻大學的師資結構 勵應用科技的研發能力 在策略執行上 2012 1 2 1 2 E-mailhsiaoen@mail.chna.edu.tw; mshchen@mail.chna.edu.tw ()() 97 98 101 2011 1 848 6 2010 12 76 508 59 84.6430 71.5363 1.1 1 () 擴展學習領域 () () 力求卓越創新 發皇通識教育 厚植職場發展的競爭能力 拓展國際交流 e 把握資訊網路的科技應用

More information

Microsoft PowerPoint - SAGE 2010

Microsoft PowerPoint - SAGE 2010 SAGE Journals Online -Communication Studies 大綱 SAGE 簡介 Communication Studies 收錄內容 SJO 平台功能介紹 首頁 瀏覽功能 檢索功能 進階服務 SAGE Content 超過 520 種人文 社會科學 理工 科技領域電子期刊 SAGE 與超過 245 個國際知名的學會合作 ( 包括 American Sociological

More information

現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度, 進而獲得地底物質密度與深度的關係 地下世界知多少 km/s g/cm 3 P Gpa km S P S 3,000 3,000 ak K 透視地底 Percy Bridgma

現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度, 進而獲得地底物質密度與深度的關係 地下世界知多少 km/s g/cm 3 P Gpa km S P S 3,000 3,000 ak K 透視地底 Percy Bridgma 透視地球深處 的窗戶? extreme condition extreme environment 94.5 1 270 21 3.9 12.3 6,400 300 4,000 1864 Jules Gabriel Verne 1959 2008 1990 Paul Preuss 2003 24 2013 2 482 現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度,

More information

46 2011 11 467 數位遊戲式學習系統 7 2011 11 467 47 3 DBGameSys 48 2011 11 467 正規化資料模組 如何配置並儲存電子化資料 以 便減少資料被重覆儲存的程序 DBGameSys的主要功能模組包 學習者 審核評分模組 含 正規化資料模組 審核評分 模組 高分列表模組3大區塊 系統資料庫 在正規化資料模組的執行 高分列表模組 過程中 先要求學習者瀏覽遊戲

More information

Microsoft Word - 00封面

Microsoft Word - 00封面 核 准 文 號 : 教 育 部 104 年 3 月 27 日 臺 教 國 署 高 字 第 1040034407 號 函 核 定 國 立 嘉 義 高 級 工 業 職 業 學 校 群 科 課 程 綱 要 總 體 課 程 計 畫 書 (104 學 年 度 入 學 學 生 適 用 ) 中 華 民 國 103 年 3 月 27 日 國 立 嘉 義 高 級 工 業 職 業 學 校 群 科 課 程 綱 要 總

More information

一、研究動機

一、研究動機 中華民國第四十六屆中小學科學展覽會作品說明書 高職組電子 電機及資訊科 第二名 最佳創意獎 091006 智慧型汽車後視鏡 學校名稱 : 國立屏東高級工業職業學校 作者 : 職二楊上玄 指導老師 : 童信源 職二黃龍聖 職二林冠樺 職二李嘉清 關鍵詞 : 後視鏡 汽車 單晶片 車 不 車 車 路 來 利 輪 輪 利 來 車 車 車 來 行車 來 車 行 度 度 來 車 易 度 車 度 車 行車...

More information

7 南 水 北 调 东 线 第 一 期 工 程 三 阳 河 潼 河 宝 应 站 工 程 设 计 江 苏 省 水 利 勘 测 设 计 研 究 院 有 限 公 陆 小 伟, 顾 美 娟, 张 仁 田, 王 钧, 焦 建 华, 张 艺, 朱 正 伟, 杨 俊 敬, 徐 文 俊, 张 娟, 钱 祖 宾, 汤

7 南 水 北 调 东 线 第 一 期 工 程 三 阳 河 潼 河 宝 应 站 工 程 设 计 江 苏 省 水 利 勘 测 设 计 研 究 院 有 限 公 陆 小 伟, 顾 美 娟, 张 仁 田, 王 钧, 焦 建 华, 张 艺, 朱 正 伟, 杨 俊 敬, 徐 文 俊, 张 娟, 钱 祖 宾, 汤 附 件 : 2015 年 度 全 国 优 秀 水 利 水 电 工 程 勘 测 设 计 奖 获 奖 项 目 公 示 名 单 序 号 项 目 名 称 申 报 单 位 获 奖 人 员 水 利 设 计 金 质 奖 (27 项 ) 1 湖 南 渫 水 皂 市 水 利 枢 纽 工 程 设 计 汪 庆 元, 刘 志 明, 杨 启 贵, 夏 叶 青, 王 超, 雷 长 海, 李 勤 军, 刘 瑞 懿, 金 德 山,

More information

,,,,, ; ;,,,,,,,,,,,,,, 1938 10,, 11, 1940 3,,, : ; ;,,,?, :,,, 2 /,, 1940,, ;,,,,,,,, :, ;,, ;,,, ;,,,,, :,,,, :,,,, ;,,,,,,,, / 3,,,,,,,,, :,,, 1943, :,,,,, :,, 5,,,,, 1 1 1 1 6 10 13 16 16 26 ( ) 1.

More information

Microsoft Word - 兵团精神全文

Microsoft Word - 兵团精神全文 意 见 : 一 代 前 言 中 应 加 上 : 邓 小 平 新 疆 生 产 建 设 兵 团 的 同 志 们, 你 们 在 新 疆 的 业 绩, 党 中 央 不 会 忘 记 你 们, 全 国 人 民 不 会 忘 记 你 们 的 论 述, 江 泽 民 关 于 三 个 放 到 的 论 述 和 四 个 模 范 的 论 述 和 胡 锦 涛 关 于 兵 团 是 党 中 央 治 国 安 邦 的 战 略 决 策 和

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

COP中文范本

COP中文范本 四 川 宏 达 ( 集 团 ) 有 限 公 司 全 球 契 约 年 度 进 展 报 告 2010 年 5 月 13 日 目 录 高 管 致 辞... 1 公 司 简 介... 2 一 经 济 绩 效... 3 1. 体 系 与 政 策... 3 2. 工 作 与 成 果... 3 3. 反 馈 与 评 价... 3 二 环 境 绩 效... 3 1. 体 系 与 政 策... 4 2. 工 作 与

More information

冶金企业安全生产监督管理规定

冶金企业安全生产监督管理规定 冶 金 企 业 安 全 生 产 监 督 管 理 规 定 国 家 安 全 生 产 监 督 管 理 总 局 国 家 安 全 生 产 监 督 管 理 总 局 令 第 26 号 冶 金 企 业 安 全 生 产 监 督 管 理 规 定 已 经 2009 年 8 月 24 日 国 家 安 全 生 产 监 督 管 理 总 局 局 长 办 公 会 议 审 议 通 过, 现 予 公 布, 自 2009 年 11 月

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 张 工 培 训 注 册 消 防 工 程 师 考 试 免 费 宣 讲 课 土 木 建 筑 培 训 领 导 者 1 关 于 资 格 考 试 科 目 及 报 考 条 件 1 什 么 时 候 考 试? 一 级 和 二 级 是 同 一 时 间 考 试 吗? 根 据 公 安 部 消 防 局 官 方 答 疑,2015 年 一 级 注 册 消 防 工 程 师 资 格 考 试 时 间 和 报 名 方 式 计 划 由

More information

<4D6963726F736F667420576F7264202D20BAECB1A6C0F6A3BAB7C7B9ABBFAAB7A2D0D0B9C9C6B1C4BCBCAFD7CABDF0CAB9D3C3B5C4BFC9D0D0D0D4B1A8B8E62E646F63>

<4D6963726F736F667420576F7264202D20BAECB1A6C0F6A3BAB7C7B9ABBFAAB7A2D0D0B9C9C6B1C4BCBCAFD7CABDF0CAB9D3C3B5C4BFC9D0D0D0D4B1A8B8E62E646F63> 证 券 代 码 :002165 证 券 简 称 : 红 宝 丽 公 告 编 号 :2010-022 南 京 红 宝 丽 股 份 有 限 公 司 非 公 开 发 行 股 票 募 集 资 金 使 用 的 可 行 性 报 告 二 〇 一 〇 年 十 月 1 目 录 第 一 节 募 集 资 金 使 用 计 划 3 第 二 节 本 次 募 集 资 金 投 资 项 目 可 行 性 分 析 3 第 三 节 本 次

More information

调 查 概 述, 调 查 主 要 发 现 为 此 绿 色 和 平 主 张 01 调 查 背 景 02 环 境 信 息 公 开 的 法 理 基 础 及 实 践 03 中 国 的 环 境 信 息 公 开 办 法 ( 试 行 ) 调 查 对 象 04 05 调 查 方 法 06 07 免 责 声 明 : 08 09 调 查 主 要 结 果 及 分 析 10 11 12 超 越 第 二 十 一 条 企 业

More information

* 2

* 2 * 2 1. A 3. A 2. B A. 1. 1 2. 1 3 4 4 6 p 123456 7 bk bl bm bn 7 bo cm 9 8 cl ck bt bs br bp bq 1 2 3 4 5 6 7 8 9 bk bl bm 0 bn bo bp bq br bs bt p ck 8 2 4 6 cl cm cq cp co cn cn co cp cq 10 . [8]

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

<4D6963726F736F667420576F7264202D20332E313220D7A8D2B5D6F7B8C9BFCEB3CCBACDD6F7D2AAD7A8D2B5BFCEB3CCB5C4BDCCD1A7B4F3B8D9>

<4D6963726F736F667420576F7264202D20332E313220D7A8D2B5D6F7B8C9BFCEB3CCBACDD6F7D2AAD7A8D2B5BFCEB3CCB5C4BDCCD1A7B4F3B8D9> 西 北 师 范 大 学 计 算 机 科 学 与 技 术 专 业 课 程 教 学 大 纲 高 等 数 学 Ⅰ 教 学 大 纲 一 课 程 性 质 本 课 程 为 工 科 类 学 生 必 修 的 重 要 基 础 理 论 课 它 为 培 养 我 国 社 会 主 义 现 代 化 建 设 所 需 要 的 高 质 量 专 门 人 才 服 务 的 二 教 学 目 的 本 课 程 的 教 学 目 的 是 使 学 生

More information

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8 Smart TPM Rev. 1001 Smart TPM Ultra TPM Smart TPM TPM...3 1. BIOS... 3 2. Infineon TPM Smart TPM... 4 2.1. Infineon TPM...4 2.2. Smart TPM...4 3. TPM... 5 3.1. Smart TPM TPM...5 3.2. Advanced Mode...8

More information

電腦設備LP _第九組記憶體規範書

電腦設備LP _第九組記憶體規範書 第九組記憶體規範書 1 2 3 4 5 6 7 8 9 10 11 12 13 14 筆記型電腦記憶體模組 Unbuffered 筆記型電腦記憶體模組 Unbuffered SO-DIMM DDR2 800 1.8V 2GB 200PIN SO-DIMM DDR2 800 1.8V 2GB 200PIN 筆記型電腦記憶體模組 Unbuffered 筆記型電腦記憶體模組 Unbuffered SO-DIMM

More information

範本檔

範本檔 中華民國第四十五屆中小學科學展覽會作品說明書 國中組生活與應用科學科 佳作 030827 Easy Come,Easy Go! 臺北縣立正德國民中學 作者姓名 : 國二柯宗敏 國二劉文琴 國二郭佳玟國二張俐婷 指導老師 : 陳玲 45 說 EASY COMEEASY GO! .... 參...... 陸 論.. 論.. 參 料.. 1 EASY COMEEASY GO 利 不 來 裡 女 不 不 狀

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

Ulinx-spartan3-ST3-使用手冊.pub

Ulinx-spartan3-ST3-使用手冊.pub P1 為希科技 Sparatn3 XC3S200-PQ208 ST3 實驗板使用手冊 2006.Jan.15.V1.0 P2 目錄 使用手冊 Introduction.page 3 Chapter 1 : Power..page 5 Chapter 2 : Interface RS232..page 6 LED..page 8 PUSH_BUTTOM..page 9 DIP_SWITCH..page

More information