Microsoft PowerPoint - chap07.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - chap07.ppt"

Transcription

1 第七章 記憶體和可程式邏輯 記憶體和可程式邏輯 隨機存取記憶體 記憶體的解碼 錯誤的檢測及更正 唯讀記憶體 可規劃邏輯陣列 可規劃陣列邏輯 循序可規劃裝置 1

2 記憶體 何謂記憶體 儲存格的集合, 用來儲存大量二元資訊的一種裝置 記憶體的種類 隨機存取記憶體 (random access memory ; RAM) 唯讀記憶體 (read-only memory; ROM) 記憶體寫入 (write) 操作 儲存一個新的資訊至記憶體內的過程 記憶體讀取 (read) 操作 將儲存在記憶體內的資訊轉移出記憶體的過程 隨機存取記憶體 何謂隨機存取記憶體 由任意位置做轉移資訊進出的時間都是相同的 記憶體儲存單位 位元組 (byte) 位元組 (byte):8 位元 字組長度 :8 位元的整數倍 字組 : 可為數字 指令 字符 記憶體單元容量 儲存的位元組總數 2

3 記憶體單元的方塊圖 記憶體單元方塊圖 n 資料輸入線 K 位址線 讀 寫 記憶體單元 2 k 字組每個字組 n 位元 n 資料輸出線 k 位址線 : 選取記憶體中的某個字組讀 寫 : 指定資料轉移方向 n 資料輸入線 : 提供資訊儲存於記憶體內 n 資料輸出線 : 由記憶體提供資訊出來 記憶體容量 範圍 2 10 ~2 32 個字組 字組單位 K( 千 )=2 10 M( 百萬 ) =2 20 G( 十億 ) = K=2 16 2M=2 21 4G=2 32 記憶體 1K x 16 有 10 個位元的位址, 以 16 位元為一單位來操作 記憶體的位址位元數目 視記憶體字組總數而定,2k m, 與每一字組內的位元數目無關 3

4 寫入與讀取操作 寫入 : 字組儲存於記憶體 將所需字組的二進位位址轉移至位址線 將要儲存的資料位元轉移至資料輸入線 啟動寫入輸入 讀取 : 儲存在記憶體內的字組轉移出來 將所需字組的二進位位址轉移至位址線 啟動讀取輸入 記憶晶片的控制輸入 記憶體致能不動作 記憶體晶片不被啟動, 因此不執行任何動作 記憶體致能動作 讀取 / 寫入可決定所執行的操作 記憶體致能 讀取 / 寫入 記憶體操作 0 x 不動作 1 0 寫入至選取字組 1 1 從選取字組讀取 4

5 用 HDL 描述記憶體 記憶體的宣告 用一個二維陣列且用 reg 這個保留字, 陣列中第一個數代表字組中的位元數 陣列中第二個數代表記憶體內的總字組數 如 reg [15:0] memmord [0:1023]; 讀取操作指令 DataOut Mem[Address] 寫入操作指令 Mem[Address] DataIn HDL 範例 7-1 例題 //Read and write operations of memory. //Memory size is 64 words of 4 bits each. module memory (Enable, ReadWrite, Address, DataIn, DataOut) ; input Enable, ReadWrite ; input [3:0] DataIn ; input [5:0] Address ; output [3:0], DataOut ; reg [3:0] DataOut ; reg [3:0] Mem [0:63] ; //64 x 4 memory if endmodule (Enable) (Enable or ReadWrite) if (ReadWrite) DataOut = Mem [Address] ; else Mem [Address] = DataIn ; //read //Write else DataOut = 4 bz ; // High impedance state 5

6 時序圖 存取時間 (access time) 選取一個字組然後讀取它所花的時間 週期時間 (cycle time) 完成寫入操作所發的時間 存取時間 週期時間 等於數個時脈週期 例題 : 圖 7-4 記憶體週期時序圖 6

7 記憶體的種類 記憶體存取模式 隨機存取記憶體 - 揮發性記憶體 不管存取位置為何, 存取時間相同 靜態 RAM(SRAM) - 包含內部閂鎖以儲存二進位資訊, 靜態 RAM 則較易使用且其讀寫週期較短 動態 RAM(D RAM) - 電荷加在電容器上的形式來儲存二進位資訊, 功率消耗較少, 且在單一記憶體晶片內提供較大的儲存容量 循序存取記憶體 - 非揮發性記憶體 存取時間視字組相對於讀取磁頭的位置, 存取時間不同 記憶體的解碼 隨機存取記憶體的內部構造及說明其解碼器的操作 解碼器 選取由輸入位址所指定的記憶體字組 一個安排二維陣列技巧的解碼器可展示出更有效率的解碼構造的例子, 它被使用在大容量的記憶體 例子 - 通常被應用在 DRAM 積體電路中的位址多工 7

8 儲存格 儲存一位元資訊的二進位儲存格 二進位儲存格必須非常的小, 內部閂鎖可儲存一個位元 4X4 RAM 方塊圖 8

9 4X4 RAM 內部構造 2 4 解碼器 選取此四個字組的其中, 一個用記憶體啟動輸入來啟動 讀取操作期間 所選取字組的四個位元即經由 OR 閘通至輸出端 在寫入操作期間 出現在輸入線上的可用資料被轉移到所選取字組的四個二進位儲存格 二維解碼 一個陣列內安排記憶體儲存格盡可能的讓它接近正方形 在此架構下, 一個具有 k 條輸入的解碼器就可以被兩個只有 k/2 條輸入的解碼器所取代, 其中一個解碼器是用來做二維矩陣架構中列的選取, 而另一個則是做行的選取 1k- 字組記憶體使用二維選取方法的例子 9

10 二維選取方法的例子 二維選取方法的例子 使用單獨一個 的解碼器 單獨的解碼器, 我們可能需要 1024 個 AND 閘, 且每個 AND 閘需有 10 條輸入線 用兩個 5 32 的解碼器 只需要 64 個 AND 閘, 且每個 AND 閘只有 5 條輸入 在記憶體陣列的每一個字組是由 X 線的其中一條與 Y 線的其中一條同時來選取的 每個交叉點代表一個字組而每個字組內可有任意個位元 10

11 SRAM DRAM 記憶體比較 SRAM 記憶體 DRAM 記憶體 DRAM 的單位密度是 SRAM 的四倍, 這允許將四倍的記憶體容量放置在一個已知大小晶片上 而儲存在 DRAM 的每個位元其價格為 SRAM 的 1/3~1/4 左右 我們了解到另一個較省錢的原因是因為 DRAM 的功率消耗較 SRAM 來得低 這些優點, 使得在製造大記憶體時都喜歡用 DRAM 技術來完成 因為它們的大容量, 解碼 DRAM 位址的解碼就使用二維陣列的方式, 通常較大的記憶體就有多個陣列 為了減少 IC 包裝的接腳數, 設計者就利用位址多工方法, 藉由一組位址輸入接腳來容納位址元件 位址多工方塊圖 64K- 字組的記憶體 11

12 64K- 字組位址多工 位址多工 有一個 8- 位元的位址輸入及兩個位址致能 此位址致能包括啟動代表列與行暫存器的位址 兩階段的使用 RAS 與 CAS 設定可以將 16- 位元的位址應用到 DRAM 這兩部分的位址各自在它們的暫存器中, 而解碼器須將它們解碼以便選取相對應於列與行位址的一個儲存格, 然後在此儲存格中執行讀取或寫入的操作 錯誤的檢測及更正 錯誤檢測方法 是用同位位元 ( 見 3-8 節 ), 同位位元與記憶體內的資料字組一起產生與儲存 錯誤更正碼 可以產生多重同位位元檢查元, 這些與資料字組一起儲存在記憶體內, 每個檢查位元都是資料字組內的一組位元的同位元, 當字組從記憶體位置讀取回來時, 相關的同位位元也同時從記憶體讀取, 同時與已讀取資料所產生的一組新檢查位元做比較, 若這些檢查位元是正確的, 那表示沒有錯誤發生, 若這些檢查位元與所儲存的同位位元不相符, 它們可產生一個唯一的圖型, 稱之為多義元 (syndrome), 可用來辨識位元的錯誤 12

13 漢明碼 隨機存取記憶體中最常用的一種錯誤更正碼 漢明碼中, 是將 k 個同位位元加至 n 個位元的資料字組, 形成一個 n+k 個位元的新字組 若是 2 的冪次方編號, 則保留給同位位元, 其餘的位元則是資料位元 此碼可被用在任何長度的字組 漢明碼有 k 個檢查字元及 k n 的資料位元, 總共有 n+k 個 2 1 n + k 字元 它們之間的關係如下 : 2 以 k 表示, 解出 n 2 k k 1 n + k 1 k n 此關係式可計算出, 與 k 個檢查位元配合所使用之資料位元數的公式 漢明碼例子 資料字組的 8 個位元為 位元位置 : P 1 P 2 1 P P P 1 = 位元 (3,5,7,9,11) 的 XOR = = 0 P 2 = 位元 (3,6,7,10,11) 的 XOR = = 0 P 4 = 位元 (5,6,7,12) 的 XOR = = 1 P 8 = 位元 (9,10,11,12) 的 XOR = = 1 8 位元資料字組與 4 個同位位元一起儲存在記憶體內而成為一個 12 位元的合成字組 13

14 檢查位元之計算 當這 12 個位元從記憶體讀取出來時, 它們再一次檢查可能的錯誤, 此同位位元依包括同位位元的相同位元組合來檢查,4 個檢查位元的計算如下 : C 1 = 位元 (1,3,5,7,9,11) 的 XO C 2 = 位元 (2,3,6,7,10,11) 的 XOR C 4 = 位元 (4,5,6,7,12) 的 XOR C 8 = 位元 (8,9,10,11,12) 的 XOR 結果 C = C 8 C 4 C 2 C 1 = , 這表示無錯誤發生 K 個檢查位元所使用之資料位元數 檢查位元數 k 遮料位元範圍 m

15 單 - 錯誤更正 雙 - 錯誤檢測 漢明碼僅能檢測及更正單一錯誤, 多重錯誤則無法檢測 藉由加入另外的同位位元至編碼的字組, 漢明碼可以用來更正單一錯誤及檢測出雙錯誤 先前 12 位元已編碼的字組變為 P 13, 其中的 P 13 是從其他 12 個位元做互斥或所得到的 字組從記憶體讀取出來時, 檢查位元要被計算, 同時同位位元也包含在此 13 個位元 偶同位例子 若 P=0, 此同位位元是正確的 ( 偶同位 ) 但若 P=1 時, 則此 13 個位元上的同位不正確 ( 奇同位 ) 那麼可能發生下列四種狀況 : 若 C = 0 且 P=0, 無錯誤發生 若 C 0 且 P=1, 發生單一錯誤, 且可被更正 若 C 0 且 P=0, 發生雙錯誤, 可被檢測但無法更正 若 C = 0 且 P=1, 有一錯誤發生在 P 13 位元 這種方式可以檢測出多於兩個的錯誤, 但並不保證可以檢測出 15

16 唯讀記憶體的方塊圖 ROM 本身是一個可以永久儲存二進位資訊的記憶體裝置 ROM 的方塊圖如圖 7-9 所示, 它包含 k 個輸入與 n 個輸出 唯讀記憶體 輸入提供了記憶體的位址, 而輸出則是提供儲存字組的資料位元, 它是由 address 來選擇, 至於 ROM 中的所包含的字組數目則是由 k 條位址輸入線, 所定義出 2 k 不同的位元 數目, 也來代表 2 k 個不同的字組, 注意,ROM 並沒有資料輸入, 因為它並沒有寫的動作, 積體電路 ROM 晶片, 有一個或是更多的致能輸入且有時還有三態的輸出來簡化大的 ROM 陣列結構. 16

17 32X8 ROM 的內部邏輯 五個輸入則是藉由一個 5 32 的解碼器將它們解碼成 32 個不同的輸出 每一個解碼器的輸出代表一個記憶體的位址, 而這 32 個解碼器的輸出和 8 個 OR 閘的每一個 相連接 ROM 的真值表 儲存在 ROM 內部的二進位可藉由真值表說明, 此表可以看出在每一個位址裡的字組內容 32 8 ROM 的內容可以用類似於表 7-3 的真值表來說明 輸入 輸出 I4 I3 I2 I1 I0 A7 A6 A5 A4 A3 A2 A1 A

18 依據表 7-3 所規劃的 ROM 規劃此 ROM 的硬體程序, 就是依據所給的真值表結果將其間的連接用熔絲熔斷 組合邏輯電路的製作 基本上 ROM 是一個包含解碼器及 OR 閘這兩者在一起的單一裝置 ROM 的輸出可以被規劃成代表在組合邏輯電路中輸出變數的布林函數, 它是藉由選擇這些包含在函數裡的最小項之連接來完成 ROM 內部的操作可以用兩種方式來解釋, 第一種解釋就是包含一個儲存字組的固定模型 ; 第二個解釋可以把它看成是, 一個組合邏輯電路製作的單元 從這個觀點來看, 每一個輸出端點可以分別被考慮成一個最小項之和的布林函數之輸出 當一個組合邏輯電路用一個 ROM 來設計, 它就不需要去設計邏輯電路, 或是表示出此單元的內部邏輯連接 18

19 例題 7-1 用一個 ROM 來設計一個組合邏輯電路 此電路接受一個 3 個位元的數, 並且產生一個二進位輸出, 此輸出值等於輸入值的平方 第一個步驟就是將此組合邏輯電路的真值表推導出來 此電路需要三個輸入及六個輸出以完成所有可能的二進位數 ROM 的最小尺寸必須有三個輸入, 四個輸出, 此三個輸出可以指定出 8 個字組, 所以 ROM 的大小必須為 8 4 例題 7-1 的真值表 輸入 輸出 十進位 A 2 A 1 A 0 B 5 B 4 B 3 B 2 B 1 B

20 例題 7-1 ROM 的完成 ROM 的種類 ROM 中所需的路徑可以用四種不同的方式來規劃 第一種稱之為罩冪規劃 (mask programming) 可由半導體製造公司在該單元製造的最後過程中完成 製造 ROM 的程序則需要顧客填好適合他想要的 ROM 的真值表 此種程序是很昂貴的 因為賣方會向顧客收取製作此特別 ROM 罩冪的費用, 基於這種理由, 若有大量製造相同 ROM 的組態時, 罩冪規劃才會較經濟些 第二種稱之為可規劃僅讀記憶體 (programmable readonly memory 或 PROM) 的 ROM 則較為經濟 這允許使用者在實驗室規劃該 PROM, 以得到所期望輸入位址與儲存字組之間的關係 規劃器 (programmer) 它是可以用來製造這種程序的設計 ROM 或 PROM 規劃的硬體程序是不可逆的 20

21 ROM 的種類 第三種 ROM 的型式為可清除的 (erasable) 的 PROM 或是 EPROM,EPROM 即使先前已被規劃過了, 但仍可重建其初始值 將 EPROM 放置在一特殊的紫外線下一段時間, 短波輻射對作為規劃接點用的內部浮接邏輯閘放電, 在清除後, 此 ROM 回到其初始狀態而可以被規劃出一些新的值 第四種 ROM 的型式稱之為可電性清除 (electricallyerasable) 的 PEOM(EEPROM 或 E²PROM), 它的方法如前面 EPROM, 除了先前規劃的接點可以用電氣訊號代替紫外線來將它清除, 這個好處就是清除此裝置時, 不必將它從治具上移走 PLD 的組合 三種主要的組合 PLD 的裝置 它們之間的不同為其 AND-OR 陣列中的規劃接點之配置 可規劃的僅讀記憶體 (PROM) 有一固定的 AND 陣列構造如一解碼器以及可規劃的 OR 陣列 此可規劃的 OR 閘是用最小項之和的方式執行布林函數 可規劃陣列邏輯 (PAL) 有一個可規劃的 AND 陣列以及一個固定的 OR 陣列, 此 AND 閘規劃成提供布林函數積項之用, 而由每一 OR 閘來取邏輯和 可規劃規邏輯陣列 (PLA) 其 AND 及 OR 陣列均可以被規劃, 在 AND 陣列中的積項可被任一 OR 閘所共用, 以提供所需積之和的執行 具適用性 21

22 三種 PLD 的構造 可規劃邏輯陣列 可規劃邏輯陣列 (PLA) 在觀念上和 PROM 很相似, 除了不能提供變數的全部解碼及不能產生所有的最小項外,PLA 內的解碼器則被一個 AND 陣列所取代 此 AND 閘被規劃成產生輸入變數所組成的任何乘積項, 然後這些乘積項被連接到 OR 閘以提供所需布林函數的積之和 22

23 PLA 具有 3 個輸入 4 個乘積項及 2 個輸出 執行的布林函數為在圖中的每一個 AND 閘所產生的乘積項都被列在該邏輯閘的輸出, 而這些乘積項則是由那些輸入被連接到交叉點且用 X 做標記所決定的 而一個 OR 閘的輸出, 則給予此邏輯做被選擇積項之和 至於它的輸出則視連接到 XOR 閘的一個輸入來決定, 其結果可能是補數或是保留原來的值 規劃表 此 PLA 規劃表包括三個部份 第一部份列了用數字表示的乘積項 第二部份指定在輸入與 AND 閘間所需的路徑 第三部份指定了 AND 及 OR 閘間的路徑 成績項 輸出 輸入 (T) (C) A B C F1 F2 A B AC BC A BC

24 規劃表 每一個乘積項而言, 它們的輸入用 1,0 或是一 ( 虛線 ) 來標記 規劃表中輸入與 AND 閘間的路徑是由標題為 輸入 這一行所指定的 在 AND 與 OR 閘間的路徑是由標題為輸出的行所指定的 PLA PLA 的大小是由輸入數目, 乘積項數目及輸出數目來指定的 一個典型的 PLA 積體電路可有 16 個輸入,48 個乘積項, 及 8 個輸出 如, 有一個具有 n 個輸入,k 個乘積項,n 個輸出的 PLA 其內部邏輯包含了 n 個緩衝一反相,k 個 AND 閘,m 個 OR 閘, 及 m 個 XOR 閘 當利用 PLA 來設計一個數位系統時, 不需要像圖 7-14 那樣畫出此單元的內部接線, 所需要的就是一個 PLA 的規劃表 PLA 可做罩冪規劃或現場規劃, 24

25 例題 7-2 用 PLA 來執行下列兩個布林方程式 : F = ( A, B, C) = 1 F = ( A, B, C) = 2 (0,1,2,4) (0,5,6,7) 此二個函數可用圖 7-15 之卡諾圖加以簡化, 這二個函數的真值與補數都用積之和的型式加以簡化, 產生的最少乘積項的組合為 : F = ( AB+ AC+ BC 1 ) F = AB+ AC+ ABC 2 例題 7-2 之解答 25

26 可規劃陣列邏輯 可規劃陣列邏輯是一個具有一固定 OR 陣列與一可規劃 AND 陣列的可規劃邏輯裝置 由於僅有 AND 閘可規劃, 所以此種 PAL 較容易規劃, 但不像 PLA 那樣的適用 典型的 PAL 積體電路可能有 8 個輸入,8 個輸出及 8 個區域 而每個區域均有一組 8 個寬度的 AND-OR 閘, 至於輸出端子, 有時則用三態緩衝器或是反相器來區分 當用 PAL 設計時, 布林函數必須簡化成可適合每一部份, 不像 PLA, 一個乘積項不可能由兩個或更多的 OR 閘來分享 因此, 每一個函數均可自行簡化, 而不用考慮共用的乘積項 而每一區域內的乘積項數目是固定的, 若該函數中乘積項數目過大時, 那麼就可能需要兩個區域來執行一個布林函數 PAL 具有 4 個輸入 4 個輸出及 3 個寬度的 AND-OR 結構 26

27 用 PAL 設計組合邏輯電路 布林函數 w( A, B, C, D) = x(a, B,C, D) y( A, B, C, D) = z( A, B, C, D) = 化簡後之布林函數 = w = ABC + A B CD (2,12,13) (7,8,9,10,11,12,13,14,15) (0,2,3,4,5,6,7,8,10,11,15) (1,2,8,12,13) x = A + BCD y = A B + CD + B D z = ABC + A B CD + AC D + A B C D = w + AC D + A B C D PAL 規劃表除了 AND 閘需要規劃外, 其餘均與 PLA 的規劃表相似 PAL 規劃表 成績項 AND 輸入 A B C D W w = ABC + A B CD x = A + BCD y = AB + CD + B D z = w + AC D + A B C D 27

28 規劃表所指定的 PAL 熔絲路徑圖 循序可規劃裝置 數位系統常用正反器及邏輯閘來設計電路 PLD 只包含了邏輯閘, 因此當設計數位系統時, 就有必要包括額外的正反器 循序可規劃裝置則同時包含了邏輯閘與正反器 因此, 此裝置可以被規劃成執行各種不同的循序電路功能 我們將它分成三個類型來描述, 而不深入探討其詳細的構造 1. 循序 ( 或簡單 ) 可規劃邏輯裝置 (SPLD) 2. 複雜的可規劃邏輯裝置 (CPLD) 3. 現場可規劃邏輯陣列 (FPGA) 28

29 SPLD SPLD 在積體電路元件內除了有 AND-OR 陣列外, 還包括了正反器, 一個循序電路就如圖 7-18 所示 一個 PAL 或 PLA 被修改就是利用包含從暫存器連接到一些正反器的變動 至於電路的輸出則可以由 OR 閘或正反器的輸出得到 最常被用到的 SPLD 型態是用 D 型正反器和 PAL 組合在一起 SPLD 的每個部份被稱做 marcocell, 一個 marcocell 就是一個電路, 它包含一個積之和的組合邏輯函數及一個可自由選擇的正反器 29

30 現場可規劃邏輯序列 (FPLS) 第一種可規劃裝置被發展出來, 主要是為了要製作循序電路 典型的 FPLS 則是由一個 PLA 及幾個正反器的輸出所組成的 而這些正反器適合被規劃成 JK 型或 D 型正反器的操作 FPLS 並不是很成功的 macrocell 一個典型的 SPLD IC, 它的包裝裡就有 8 個到 10 個 macrocell, 所有正反器都被連接到共同時脈輸入, 同時所有三態緩衝器都由 OE 輸入來控制 macrocell 可以有其他規劃特色, 典型的規劃是可以自由選擇的 包括使用或不使用此正反器的能力, 選擇時脈邊緣的極性, 暫存器的清除與設定的選擇, 以及輸出是真或假的選擇等 30

31 CPLD 一個 CPLD 是收集一些個別的 PLD 把它們放在一個單獨的積體電路上 一個普通的 CPLD 結構如圖 7-20 所示 它透過一個可規劃的開關矩陣將多重的 PLD 交互連接 輸出 / 輸入方塊提供到 IC 接腳的連接 每支 I/O 接腳則是由三態緩衝器推導出來的, 它可以被規劃成輸入或是輸出, 而開關矩陣接受從 I/O 方塊來的輸入, 且直接將它傳送至個別的 macrocell 31

32 FPGA 現場可規劃邏輯陣列 (FPGA) 是一個 VLSI 電路 VLSI 設計中, 最基本的元件就是邏輯陣列 典型的 FPGA 包含上百個或上千個邏輯方塊陣列, 且由可規劃的輸入及輸出方塊所圍繞, 且使用可規劃交互連接將它們連接在一起 典型的 FPGA 邏輯方塊包含查看表格 多工器 邏輯閘及正反器 此查看表格是儲存在 SRAM 內的真值表, 且提供此邏輯方塊一個組合邏輯電路函數 使用 RAM 來代替 ROM 的好處就是可以將規劃好的真值表寫入記憶體 而缺點就是記憶體容易變的 且當電源重新開始時, 則查看表格的內容必須重新載入, 而程式可由主電腦或另一個 PROM 下載 程式則一直被保留, 直到 FPGA 重新被規劃或是電源被關掉 每次電源被打開, 這裝置就必須被重新規劃 在程式中藉由使用不同的邏輯製作, 來重新規劃 FPGA, 此法可被用在不同的應用中 用 PLD,CPLD 或是 FPGA 來設計電路則需要額外的電腦輔助設計工具 (CAD) 來製造合成程序 可利用的工具如用產生圖形輸入包裝及硬體描述語言 (HDL), 例如 ABEL,VHDL 及 VERILOG 合成工具則產生架構及連接邏輯方塊以符合用 HDL 描述的高階設計 32

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (ND,OR,NOT,NND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 7 6 5 4 3 2 POWER U-3 STRIGHT HEDER HI :RED LO:NO DISPLY +V -V

More information

Microsoft PowerPoint - chap12.ppt

Microsoft PowerPoint - chap12.ppt 步並列資料轉移 CLK 位址與命令 資料 匯流排週期 (a) 單一時脈週期 匯流排週期 CLK 位址與命令 資料 匯流排週期 匯流排週期 (b) 多時脈週期 林銘波編著 --- 全華科技圖書公司 2. 閃脈控制方式 --- 來源裝置啟動 來源裝置 閃脈控制線 標的裝置 (a) 方塊圖 成立 閃脈控制線 (b) 時序圖 標的裝置將資料閘入資料暫存器中 林銘波編著 --- 全華科技圖書公司 2.2 閃脈控制方式

More information

46 2011 11 467 數位遊戲式學習系統 7 2011 11 467 47 3 DBGameSys 48 2011 11 467 正規化資料模組 如何配置並儲存電子化資料 以 便減少資料被重覆儲存的程序 DBGameSys的主要功能模組包 學習者 審核評分模組 含 正規化資料模組 審核評分 模組 高分列表模組3大區塊 系統資料庫 在正規化資料模組的執行 高分列表模組 過程中 先要求學習者瀏覽遊戲

More information

4

4 練習 9A ( 9. 特殊角的三角比 T ( 在本練習中, 不得使用計算機 如有需要, 答案以根式或分數表示. 試完成下表 三角比 θ 0 4 60 sin θ cos θ tan θ 求下列各數式的值 (. cos 60. sin 4 4. tan 4. cos0 4 tan 0 7. sin 4 cos 4 8. cos 60 tan 4 9. tan 60sin 0 0. sin 60 cos

More information

LCD模組之應用

LCD模組之應用 液晶顯示幕 LCD 模組之應用 第十三章 2018/11/30 例說 89S51-C 語言 13-1 本章內容 2018/11/30 例說 89S51-C 語言 13-2 1 液晶顯示幕 LCD 之日常應用 3 https://s.yimg.com/hg/pimg2/ae/60/p099374833664-item-2330xf2x0600x0600-m.jpg 液晶顯示幕 LCD 之日常應用 4

More information

Microsoft Word - Delta Controller ASCII_RTU_TC

Microsoft Word - Delta Controller ASCII_RTU_TC Delta Controller ASCII/RTU ( 適用台達變頻器 伺服驅動器 PLC 溫度控制器 ) 人機預設值通訊速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站號 :1 控制區 / 狀態區 :None/None 控制器接線的說明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列適用 )

More information

山东2014第四季新教材《会计基础》冲刺卷第三套

山东2014第四季新教材《会计基础》冲刺卷第三套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 3 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

Microsoft PowerPoint - chap2.ppt

Microsoft PowerPoint - chap2.ppt 基本程式設計觀念 人 自然語言 ( 中文 英文...) 高階語言 (C C++ Java) 組合語言 (8051 或是 80x86) 機器語言 (8051 或是 80x86) 機器 林銘波編著 --- 全華科技圖書公司 2.1 計算機的階層式結構 應用程式 ( 或語言 ) 高階語言組合語言硬體 林銘波編著 --- 全華科技圖書公司 2.2 儲存程式計算機 資料輸入 中央處理器讀取 / 寫入控制 CPU

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

Microsoft PowerPoint - chap09

Microsoft PowerPoint - chap09 第 9 章 多工器 解碼器與可程式 規劃邏輯元件 簡介 小型積體電路 (SSI): 功能包括 NAND NOR AND 和 OR 閘 反相器及正反器 SSI 積體電路內典型的包裝通常具有 1 到 4 個閘,6, 個反相器, 或 1 或 2 個正反器 中型積體電路 (MSI): 如加法器, 多工器, 解碼器, 暫存器, 及計數器 包裝大概含有相當於 12 到 100 個閘 大型積體電路 (LSI):

More information

投影片 1

投影片 1 資料庫管理程式 ( 補充教材 -Part2) 使用 ADO.NET 連結資料庫 ( 自行撰寫程式碼 以實現新增 刪除 修改等功能 ) Private Sub InsertButton_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles InsertButton.Click ' 宣告相關的 Connection

More information

Microsoft Word - ACL chapter02-5ed.docx

Microsoft Word - ACL chapter02-5ed.docx 第 2 章神奇的質數 2.1.1 什麼是質數 1 1 1 打下好基礎 - 程式設計必修的數學思維與邏輯訓練 1 1 0 10 2 3 5 7 4 6 8 9 10 4 10000 1229 1000 168 2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97 101 103 107 109 113 127 131

More information

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精 2015 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 中 医 综 合 科 目 试 题 解 析 一 A 型 题 :1~80 小 题, 每 小 题 1.5 分, 共 120 分 在 每 小 题 给 出 的 A B C D 四 个 选 项 中, 请 选 出 一 项 最 符 合 题 目 要 求 的 1. 提 出 阳 常 有 余, 阴 常 不 足 观 点 的 医 家 是 A 朱 丹 溪 B 刘 完

More information

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2 Chapter II 軟硬之間 電腦的程式與硬體 1 資料的二進位表示法 資訊在電腦外部及內部表示法上的不同 2 資料的二進位表示法 二進位與十進位轉換表 3 資料的二進位表示法 1035 0000010000001011-1035 直接符號 1000010000001011 1 s 補數 1111101111110100 2 s 補數 1111101111110101 負整數表示法 4 資料的二進位表示法

More information

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向 新 东 方 全 国 法 律 硕 士 ( 非 法 学 ) 联 考 模 拟 考 试 专 业 基 础 课 答 案 解 析 一 单 项 选 择 题 1. 答 案 D 本 题 主 要 考 查 刑 法 分 则 中 关 于 亲 告 罪 与 非 亲 告 罪 的 规 定 要 注 意 这 些 亲 告 罪 在 有 特 别 的 情 况 下, 是 公 诉 犯 罪 我 国 刑 法 共 规 定 了 5 种 告 诉 才 处 理 的

More information

中華民國青溪協會第四屆第三次理監事聯席會議資料

中華民國青溪協會第四屆第三次理監事聯席會議資料 - 1 - 中 華 民 國 第 八 屆 第 四 次 理 監 事 聯 席 會 議 程 序 表 日 期 中 華 民 國 1 0 4 年 1 2 月 1 9 日 ( 星 期 六 ) 地 點 臺 南 南 紡 夢 時 代 雅 悅 會 館 五 樓 ( 臺 南 東 區 中 華 東 路 一 段 366 號 ) 項 次 程 序 起 訖 時 間 使 用 時 間 主 持 人 或 報 告 人 報 到 16:30~17:00

More information

組合邏輯的設計

組合邏輯的設計 R R R LED RL 第一部份 : 組合邏輯的設計 步驟 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 4. 繪製電路圖 範例 : 設計一個三人用表決電路, 當多數人贊成的時候, 輸出 LED 亮起, 否則熄滅 解答 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 F ( )( )( ) F 4. 繪製電路圖 Vcc U U U4 範例 : 設計一個三輸入的加總電路,

More information

《米开朗琪罗传》

《米开朗琪罗传》 ! " # ! """"""""""""""""""" """"""""""""""""" """""""""""""""" $% """"""""""""" &# """"""""""""""" %# """"""""""""""" # """""""""""""""!$% """""""""""""""!&!! # $$$$$$$$$$$$$$$$$$ $$$$$$$$$!"#!%& (! "

More information

优合会计考点直击卷子之财经法规答案——第八套

优合会计考点直击卷子之财经法规答案——第八套 原 题 导 航 基 础 第 一 套 第 1 题 参 考 答 案 : C 试 题 评 析 : 在 社 会 主 义 市 场 经 济 条 件 下, 会 计 的 对 象 是 社 会 再 生 产 过 程 中 主 要 以 货 币 表 现 的 经 济 活 动 第 2 题 参 考 答 案 :B 试 题 评 析 : 在 权 责 发 生 制 下, 本 期 售 货 尚 未 收 到 销 售 货 款 属 于 当 期 收 入

More information

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的 2016 考 研 政 治 真 题 答 案 及 解 析 ( 完 整 版 ) 来 源 : 文 都 教 育 一 单 选 题 1.B 解 析 此 题 考 查 的 是 适 度 原 则 AC 选 项 表 述 正 确 但 与 题 目 无 关 D 表 述 错 误, 现 象 表 现 本 质 的 只 有 B 与 题 干 相 符, 所 以 答 案 为 B 2.A 解 析 前 一 句 话 " 自 由 不 在 于 幻 想 中

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

2 A

2 A 1 2 A 3 AB 8 11 12 13 14 15 16 4 5 6 21 200 (l)20 (2)15 (3)10 7 8 9 10 11 11 12 14 15 12 13 14 15 16 17 18 19 20 21 17 18 203500 1500 500 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42

More information

WinXP

WinXP 2014 行 测 知 识 点 详 解 班 课 程 讲 义 www.b2cedu.com 言 语 理 解 和 表 达 4 第 一 课 言 语 理 解 与 表 达 概 述... 4 第 二 课 : 逻 辑 填 空 实 词 填 空... 6 第 三 课 : 逻 辑 填 空 成 语 填 空... 9 第 四 课 : 阅 读 理 解 -- 表 面 主 旨... 12 第 五 课 : 阅 读 理 解 -- 隐

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

1

1 磁軌式讀卡機 1288 系列 使用手冊 Version 1.0 1 2 3 4 5 6 7 8 9 10 11 12 1288 MSR Micro controller : With Decoder Open Visual COM port to read data (UART Interface) From 1288 Or direct control 1288 by sending Command

More information

Microsoft PowerPoint - chap9.ppt

Microsoft PowerPoint - chap9.ppt 記憶器類型 記憶器元件主要分成 : RAM (Random Access Memory) SRAM (static RAM, 靜態隨意存取記憶器 ) DRAM (dynamic RAM, 動態隨意存取記憶器 ) ROM (Read Only Memory) ROM PROM (programmable ROM) EPROM (erasable programmable ROM) EEPROM (electrically

More information

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音 國 中 國 文 B4:L7 考 試 卷 年 班 座 號 : 姓 名 : 一 國 字 及 注 音 1. 1 謹 ㄔˋ : 2 裝 ㄕˋ : 2. 1 ㄕㄨˊ 大 於 是 : 2 私 ㄕㄨˊ : 3. 歙 縣 : 4. 拘 泥 : 5. 不 宜 痴 : 6. 1 經 傳 : 2 傳 承 : 7. ㄏㄨㄟ 諧 : 8. 徽 州 : 9. 閒 ㄒㄧㄚˊ : 10. 康 ㄒㄧ : 11. 默 而 識 之 :

More information

CIP /. - 1999.1 ISBN 7-81059-300-! ". #. - - - - $. D909.5-44 CIP 1999 00865 100038 850 1168 1/32 8 200 1999 1 1 2003 3 1 2003 3 1 0001-5000 180.00 15.00 !! 2003 2 1998!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! 6!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

Microsoft Word - cjfg_jy0201.doc

Microsoft Word - cjfg_jy0201.doc 第 二 章 支 付 结 算 法 律 制 度 考 情 分 析 本 章 在 历 年 考 试 中 所 占 的 分 值 比 重 为 20 35 分 左 右 围 绕 支 付 结 算 展 开, 分 别 介 绍 了 现 金 管 理, 银 行 存 款 管 理, 以 及 各 种 支 付 结 算 工 具 本 章 重 点 为 第 四 节, 难 度 稍 高, 需 要 考 生 在 理 解 的 基 础 上 适 当 记 忆 第

More information

Microsoft PowerPoint - C_Structure.ppt

Microsoft PowerPoint - C_Structure.ppt 結構與其他資料型態 Janet Huang 5-1 結構的宣告 struct 結構名稱 struct 結構名稱變數 1, 變數 2,, 變數 m; struct 結構名稱 變數 1, 變數 2,, 變數 m; student; student; 5-2 1 結構變數初值的設定 struct 結構名稱 struct 結構名稱變數 = 初值 1, 初值 2,, 初值 n student="janet","1350901",100,95

More information

北京2014年会计从业资格考试《会计基础》备考机试卷一

北京2014年会计从业资格考试《会计基础》备考机试卷一 更 多 内 容 请 查 看 精 品 文 库 网 www.jingpinwenku.com 北 京 2014 年 会 计 从 业 资 格 考 试 会 计 基 础 备 考 机 试 卷 一 1 单 项 选 择 题 ( 下 列 各 题 的 备 选 答 案 中, 请 从 中 选 出 一 个 最 符 合 题 意 的 答 案 本 类 题 共 20 个 小 题, 每 小 题 1 分, 共 20 分 多 选 错 选

More information

山东2014第四季新教材《会计基础》冲刺卷第二套

山东2014第四季新教材《会计基础》冲刺卷第二套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 2 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

Microsoft PowerPoint - chap05.ppt

Microsoft PowerPoint - chap05.ppt 第五章同步序向邏輯 5- 序向電路 同步時脈序向電路 5-2 閂鎖器 SR 閂鎖器 (SR Latch): 由 NOR 閘所構成之 SR 閂鎖器 由 NAND 閘所構成之 SR 閂鎖器 2 具有控制輸入之 SR 閂鎖器 D 型閂鎖器 (D Latch) 3 閂鎖器之符號圖 5-3 正反器 閂鎖器和正反器之時脈響應 4 邊緣觸發 D 型正反器 (Edge- Triggered D Flip-Flop)

More information

Microsoft Word - ACI chapter00-1ed.docx

Microsoft Word - ACI chapter00-1ed.docx 前言 Excel Excel - v - 財務管理與投資分析 -Excel 建模活用範例集 5 相關 平衡 敏感 - vi - 前言 模擬 If-Then 規劃 ERP BI - vii - 財務管理與投資分析 -Excel 建模活用範例集 ERP + BI + ERP BI Excel 88 Excel 1. Excel Excel 2. Excel 3. Excel - viii - 前言 1.

More information

Microsoft Word - 國文封面

Microsoft Word - 國文封面 臺 北 區 公 立 高 中 九 十 七 學 年 度 第 二 學 期 大 學 入 學 指 定 科 目 第 一 次 聯 合 模 擬 考 試 國 文 考 科 - 作 答 注 意 事 項 - 考 試 時 間 :80 分 鐘 題 型 題 數.. 選 擇 題 共 24 題 非 選 擇 題 共 二 大 題 作 答 方 式 : 選 擇 題 用 2B 鉛 筆 在 答 案 卡 上 作 答, 修 正 時 應 以 橡 皮

More information

bingdian001.com

bingdian001.com 2017 12 2 24 1 2 17 2 000 20 2 500 2 400 25 100 3 80 2 17 A B 80 C D 2 2 17 25 000 3 1 2 000 5 5 800 5 30 800 2 17 A B C D 3 2 17 2 16 20 20 2 17 2 16 2 17 20 000 18 000 A B C D 4 2 17 500 800 350 120

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

(黃).indd

(黃).indd 102 22 95 11 5 4 7 14 19 20 8 2 5 6 8 10 15 17 18 5 1 3 16 21 22 6 9 11 12 13 23 24 2 3 17 15 16 193011 95 101 102 22 101 95 1112 13 14 15 16 17 18 19 20 Bendetto Croce 1960 4 48 1244 2 1. (A) (B)(C)(D)

More information

<443A5CD7C0C3E65CC8BAD7CAC1CF5C323031344350415F73662E646F63>

<443A5CD7C0C3E65CC8BAD7CAC1CF5C323031344350415F73662E646F63> 2014 年 注 册 会 计 师 专 业 阶 段 考 试 税 法 试 题 及 答 案 一 单 项 选 择 题 1. 税 法 基 本 原 则 的 核 心 原 则 是 () A. 税 收 法 定 原 则 B. 税 收 公 平 原 则 C. 税 收 效 率 原 则 D. 实 质 课 税 原 则 答 案 A 解 析 税 收 法 定 原 则 是 税 法 基 本 原 则 的 核 心 知 识 点 税 法 基 本

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884 , : :,, : ( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 88415258( ) 787 1092 1 / 16 195 8 2004 10 1

More information

! "#$! " # $%%&#! ()*+, - %& - %.,/ - /!! ! " ! #0 $ % &0 123.! 4(5 $%%& %3 &$!!!!!!!!!!!!!!! % % - /&%.&.33!!! &! 3%% - 3 % -

! #$!  # $%%&#! ()*+, - %& - %.,/ - /!! !  ! #0 $ % &0 123.! 4(5 $%%& %3 &$!!!!!!!!!!!!!!! % % - /&%.&.33!!! &! 3%% - 3 % - ! ! "#$! " # $%%&#! ()*+, - %& - %.,/ - /!!0 0 0 0! "0 0 0 0! #0 $ - - - % - - - &0 123.! 4(5 $%%& %3 &$!!!!!!!!!!!!!!! % % - /&%.&.33!!! &! 3%% - 3 % - %.63! %%%!!! 7889!:::0 7;90 ;?!!! % % -.3.3

More information

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处 北 京 市 会 计 从 业 资 格 无 纸 化 考 试 财 经 法 规 与 会 计 职 业 道 德 上 机 考 试 题 库 ( 五 ) 考 试 时 间 :60 分 钟 一 单 项 选 择 题 ( 本 题 共 20 分, 每 小 题 1 分 每 小 题 只 有 一 个 正 确 答 案, 多 选 错 选 漏 选, 不 得 分 ) 1. 纳 税 人 生 产 规 模 较 小 产 品 零 星 税 源 分 散

More information

北京金英杰医学考试中心

北京金英杰医学考试中心 目 录 社 会 主 义 法 治 理 念 备 考 提 示... 1 2013 年 大 纲 变 化... 1 法 理 学 备 考 提 示... 1 2013 年 大 纲 变 化... 1 法 制 史 备 考 提 示... 3 2013 年 大 纲 变 化... 3 宪 法 备 考 提 示... 4 2013 年 大 纲 变 化... 5 经 济 法 备 考 提 示... 8 2013 年 大 纲 变 化...

More information

Microsoft Word - 2015司考真?行政法勘?大表.doc

Microsoft Word - 2015司考真?行政法勘?大表.doc 真 题 书 页 数 P220 P240 P241 P251 题 目 第 6 题 2015 司 考 真 题 行 政 法 与 行 政 诉 讼 法 勘 误 表 格 更 改 内 容 分 析 更 改 为 : 程 序 正 当 原 则 的 内 容 包 括 : 行 政 公 开 ; 公 众 参 与 和 公 务 回 避 选 项 A 中, 环 保 局 对 当 事 人 的 处 罚 听 证, 应 当 由 行 政 机 关 指

More information

2009年挑战乔戈里

2009年挑战乔戈里 2009 年 挑 战 乔 戈 里 活 动 概 况 : 乔 戈 里 峰 海 拔 8611 米, 它 是 喀 喇 昆 仑 山 脉 的 主 峰, 是 世 界 上 第 二 高 峰, 国 外 又 称 K2 峰 乔 戈 里 峰, 国 际 登 山 界 公 认 的 攀 登 难 度 较 大 的 山 峰 之 一 乔 戈 里 峰 峰 巅 呈 金 字 塔 形, 冰 崖 壁 立, 山 势 险 峻, 在 陡 峭 的 坡 壁 上

More information

2013年3月国家教师资格统一考试

2013年3月国家教师资格统一考试 2016 年 导 游 资 格 考 试 导 游 基 础 模 拟 试 题 及 答 案 4 一 单 项 选 择 题 ( 请 选 择 一 个 正 确 答 案, 并 将 正 确 答 案 涂 在 答 题 卡 相 应 的 位 置 上 共 60 小 题, 每 小 题 0.5 分, 共 30 分 ) 1. 马 克 思 列 宁 主 义 同 中 国 实 际 相 结 合 的 第 二 次 历 史 性 飞 跃 的 理 论 成

More information

Microsoft Word - 第五章

Microsoft Word - 第五章 5 組合邏輯電路設計 算術運算電路 hpter hpter hpter hpter hpter hpter hpter hpter 概述 加 減 乘與除等四種算術運算在我們日常生活中, 用來處理數值運算之基本方法, 因此數位電路 (Dgtl rcut) 亦應具有這些基本算術運算功能, 才能用來幫助人類處理各種繁瑣之數值運算問題 數位電路僅能用來處理二進位 ( 二元性 ) 資料, 若引入補數 (omplement)

More information

電子類專業科目 ( ㆓ ) ㈨㈩ 年度技術校院㆓年制統㆒入 測驗試題 准考證號碼 : ( 請考生 行填写 ) 專業科目 ( ㆓ ) 電子類 微積分 數位邏輯 ( 含實習 ) 微處理機 ( 含實習 ) 注意事項 1. 本試題共 50 題, 每題 2 分, 共 100 分 2. 本試題均為單㆒選擇題,

電子類專業科目 ( ㆓ ) ㈨㈩ 年度技術校院㆓年制統㆒入 測驗試題 准考證號碼 : ( 請考生 行填写 ) 專業科目 ( ㆓ ) 電子類 微積分 數位邏輯 ( 含實習 ) 微處理機 ( 含實習 ) 注意事項 1. 本試題共 50 題, 每題 2 分, 共 100 分 2. 本試題均為單㆒選擇題, ㈨㈩ 年度技術校院㆓年制統㆒入 測驗試題 准考證號碼 : ( 請考生 行填写 ) 專業科目 ( ㆓ ) 電子類 微積分 數位邏輯 ( 含實習 ) 微處理機 ( 含實習 ) 注意事項. 本試題共 50 題, 每題 分, 共 00 分. 本試題均為單㆒選擇題, 每題都 (A) (C) (D) ㆕個選項, 請選出㆒個最適當的答案, 然後在答案卡 同㆒題號相對位置的方格範圍內, 用 B 鉛筆全部塗黑, 答對者得題分,

More information

HSK(基础)样题

HSK(基础)样题 HSK( 基 础 ) 样 题 试 卷 注 意 事 项 1. 基 础 汉 语 水 平 考 试 HSK( 基 础 ) 包 括 三 项 内 容 : (1) 听 力 理 解 (50 题, 约 35 分 钟 ) (2) 语 法 结 构 (40 题,40 分 钟 ) (3) 阅 读 理 解 (50 题,60 分 钟 ) 全 部 考 试 时 间 约 需 135 分 钟 2. 答 案 必 须 写 在 答 卷 上,

More information

9202reply-s.doc

9202reply-s.doc 1 16 () (A) (B) (C) (D) B () B D (B) (D)22 (A) (B) (C) 5 12 C C 34 2 3 1. 89 42 (B) 2. 42 151 44 27 () () 69 79 89 (A) ( ) 1,803 2,039 2,217 (B) (/) 4.8 4.0 3.3 (C) 65 (%) 4.1 6.1 8.5 (D) (%) 9.9 15.8

More information

九十六學年度第一學期第三次定期考國文科試題

九十六學年度第一學期第三次定期考國文科試題 凡 答 案 卡 上 因 個 人 基 本 資 料 畫 記 錯 誤 或 不 完 全, 造 成 讀 卡 過 程 無 法 判 定 身 分 者, 本 科 此 次 定 期 考 分 數 扣 3 分 一 單 選 題 ( 每 題 2 分 )36% 1.( 甲 ) 乃 覺 三 十 里 :ㄐㄩㄝˊ( 乙 ) 經 宿 方 至 :ㄙㄨˋ( 丙 ) 乾 癟 :ㄅㄧㄢˇ( 丁 ) 垂 髫 : ㄊㄧㄠˊ( 戊 ) 一 綹 短 髮

More information

untitled

untitled ...1... 1...2... 2... 3... 4... 5...6... 6... 7... 8... 9...11...11... 12... 12...13... 13 ... 13... 14... 15... 16... 18... 19... 20... 20... 21... 22... 22... 23... 23...24... 24... 25... 25... 26...

More information

2011-论文选集-2.cdr

2011-论文选集-2.cdr ! "#$# $$ "#$#$$" " $% &%!$ $ "#$$ " ! "!#!$ %" #& # ( #$ ) )& )# )$ ** "& ")! ! "" # $% & &( ( # ) )** )*+ )*$ )) ))" ),+ )," -./ ) ) ) " )++ )+" )%,, !"#" $ ! " #$% & ( & ) % #$% #$% & * #$%#$% #$% (

More information

! "#$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(& :;<<= > A B?

! #$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(& :;<<= >  A B? ! "#$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(&- 67789:;

More information

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆 Autodesk Product Design Suite Standard 20122 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆的產品設計計 Autodesk Product Design Suite Standard 版本中中包括以下軟體體產品

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

!"# $%& %!"# $%& %!"#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!"!#

!# $%& %!# $%& %!#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!!# !"#$%& % ( % )& (% ( % (( )( !"# $%& %!"# $%& %!"#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!"!# !"#$%& %!! "! # " $ # % & & ( ) *!+ !"#$%& % ( (*( (*+ "#$% $%%"# (*, (*% + +*(

More information

Microsoft Word - _m30.doc

Microsoft Word - _m30.doc 1 2 3 4 5 6 7 8 公式 2 4 2 1 能 整除 因此後玩 者贏 且關鍵數 字為3 的倍數 3 0 3 1 不能整除 所 以先拿餘數 2 關鍵數字是 4的倍 數 2 先玩者贏 4 0 4 1 能整除 因此 後玩者贏 且 關鍵數字為 5 的倍數 5 0 5 1 不能整除 所 以先拿餘數 2 關鍵 數字是 6的倍 數 2 先玩者贏 7 0 6 1 能整除 因此 後玩者贏 且 關鍵數字為7

More information

B. 高 估 自 己 C. 低 估 自 己 D. 发 掘 特 长 解 析 : 自 知, 就 是 认 识 自 己 ; 自 己 明 了 ; 或 自 然 知 晓 自 己 有 什 么 特 点, 优 势 劣 势, 自 己 都 很 清 楚 BC 说 法 都 不 对,D 说 法 不 符 合 题 意, 所 以 选

B. 高 估 自 己 C. 低 估 自 己 D. 发 掘 特 长 解 析 : 自 知, 就 是 认 识 自 己 ; 自 己 明 了 ; 或 自 然 知 晓 自 己 有 什 么 特 点, 优 势 劣 势, 自 己 都 很 清 楚 BC 说 法 都 不 对,D 说 法 不 符 合 题 意, 所 以 选 2013 年 江 苏 省 宿 迁 市 中 考 政 治 试 题 第 Ⅰ 卷 ( 选 择 题, 共 48 分 ) 第 Ⅰ 卷 共 33 题, 其 中 第 1~18 题 为 政 治 部 分 每 小 题 1 分 ; 第 19~33 题 为 历 史 部 分, 每 小 题 2 分 每 小 题 的 四 个 选 项 中, 只 有 一 个 选 项 最 符 台 题 意 1. 十 八 大 报 告 中, 成 为 中 国 特

More information

A.68 B.70 C.80 D.100 答 案 A 解 析 丁 产 品 的 可 变 现 净 值 =110-2=108( 万 元 ), 成 本 =100+40=140( 万 元 ), 可 变 现 净 值 低 于 成 本, 产 品 发 生 的 减 值, 所 以 丙 材 料 的 可 变 现 净 值 =1

A.68 B.70 C.80 D.100 答 案 A 解 析 丁 产 品 的 可 变 现 净 值 =110-2=108( 万 元 ), 成 本 =100+40=140( 万 元 ), 可 变 现 净 值 低 于 成 本, 产 品 发 生 的 减 值, 所 以 丙 材 料 的 可 变 现 净 值 =1 2013 年 中 级 会 计 职 称 考 试 中 级 会 计 实 务 真 题 及 答 案 解 析 一 单 项 选 择 题 ( 本 类 题 共 15 小 题, 每 小 题 1 分, 共 15 分 每 小 题 只 有 一 个 符 合 题 意 的 正 确 答 案 请 将 选 定 的 答 案, 按 答 题 卡 要 求, 用 2B 铅 笔 填 涂 答 题 卡 中 相 应 信 息 点 多 选 错 选 不 选 均

More information

LectureSlides1001 Data Storage.ppt [相容模式]

LectureSlides1001 Data Storage.ppt [相容模式] (continued) 1.6 Storing Integers 1.7 Storing Fractions 1.8 Data Compression 1.9 Communications Errors 1-3 1.1 Bits and Their Storage 1.2 Main Memory 1.3 Mass Storage 1.4 Representing Information as Bit

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

<4D6963726F736F667420576F7264202D2032303136B3F5BCB6BBE1BCC6A1B6BFBCB5E3BEABBBAAA1B72E646F63>

<4D6963726F736F667420576F7264202D2032303136B3F5BCB6BBE1BCC6A1B6BFBCB5E3BEABBBAAA1B72E646F63> 注 : P3 表 示 考 点 在 教 材 第 3 页 ( 对 应 2016 版 教 材 ) 2016 年 初 级 会 计 实 务 考 点 精 华 第 一 章 资 产 第 一 节 : 货 币 资 金 资 产 的 定 义 分 类 ( 流 动 资 产 非 流 动 资 产 等 ) P1 库 存 现 金 : 是 指 存 放 于 企 业 财 会 部 门 由 出 纳 人 员 经 管 的 货 币 P1 现 金 结

More information

# # # # # # = #, / / / / # 4 # # # /# 02-1 / 0 /? / 0 / 0? # # / >

# # # # # # = #, / / / / # 4 # # # /# 02-1 / 0 /? / 0 / 0? # # / > # # # # # # #,, # # # # # - #. /#. / 0 #. 0 4 1. 04 0 #. ##1 2-1 0 1. 04 # # # 3 4 0 4 3 < # : # 1 0 5 5 5 # # : # 4 678 #. 0 # 0. #678 # 0 678 678 # 0 # 4 0 : =>8 # 0 =>8 # 4.?@= # 0 0 # 4 # 0 : =>8 0

More information

萬用閘的應用

萬用閘的應用 篇名 萬用閘的應用 作者 吳依珊 國立澎湖海事資訊科二年級甲班吳珮琪 國立澎湖海事資訊科二年級甲班王靜婷 國立澎湖海事資訊科二年級甲班 - 1 - 壹 前言 萬用閘的應用 電腦實際上並不會瞭解我們指派給它的任務及資訊, 藉由判斷每一個積體電路開或關的狀態, 並將這些訊號轉成 0 與 1 的的數位訊號, 組合成一組數字, 並轉換成欲執行的指令 現代的電腦系統以位元 (bit,binary digit)

More information

Microsoft PowerPoint - 04-array_pointer.ppt

Microsoft PowerPoint - 04-array_pointer.ppt Array 與 Pointer Array Dynamical Memory Allocation Array( 陣列 ) 陣列是用來存放同樣型態的資料陣列的大小必須在程式中預先設定在程式執行中, 陣列的大小無法改變陣列中的資料是透過索引 (index) 來存取 一維陣列的宣告 type array_name[array_size]; int iarray[100]; /* an integer array

More information

二次曲線 人們對於曲線的使用及欣賞 比曲線被視為一種數學題材來探討要早 得多 各種曲線中 在日常生活常接觸的 當然比較容易引起人們的興趣 比如 投擲籃球的路徑是拋物線 盤子的形狀有圓形或橢圓形 雙曲線 是較不常見的 然而根據科學家的研究 彗星的運行軌道是雙曲線的一部 分 我們將拋物線 圓與橢圓 雙曲

二次曲線 人們對於曲線的使用及欣賞 比曲線被視為一種數學題材來探討要早 得多 各種曲線中 在日常生活常接觸的 當然比較容易引起人們的興趣 比如 投擲籃球的路徑是拋物線 盤子的形狀有圓形或橢圓形 雙曲線 是較不常見的 然而根據科學家的研究 彗星的運行軌道是雙曲線的一部 分 我們將拋物線 圓與橢圓 雙曲 -1 圓方程式 第 章 二次曲線 38 二次曲線 人們對於曲線的使用及欣賞 比曲線被視為一種數學題材來探討要早 得多 各種曲線中 在日常生活常接觸的 當然比較容易引起人們的興趣 比如 投擲籃球的路徑是拋物線 盤子的形狀有圓形或橢圓形 雙曲線 是較不常見的 然而根據科學家的研究 彗星的運行軌道是雙曲線的一部 分 我們將拋物線 圓與橢圓 雙曲線合稱為圓錐曲線 因為在平面坐標 系中 其對應的方程式均為二元二次式

More information

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 Excel - - Excel - -4-5 840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 ( 0 ) 智慧標籤 相關說明提示 -5 -- Excel 4 5 6 7 8 + - * / % ^ = < >= & 9 0 (:) (,) ( ) Chapter - :,

More information

20151107083515_題目卷

20151107083515_題目卷 國 中 歷 史 B3:L6 明 代 與 盛 清 的 發 展 練 習 卷 一 單 一 選 擇 題 1. ( ) 明 清 兩 代 的 統 治 措 施 有 何 相 似 之 處? (A) 均 薙 髮 留 辮 (B) 均 種 族 歧 視 (C) 均 興 文 字 獄 (D) 均 設 特 務 機 關 2. ( ) 小 明 參 觀 北 京 的 長 陵, 領 隊 先 生 介 紹 此 乃 明 代 因 發 動 宗 室 之

More information

The golden pins of the PCI card can be oxidized after months or years

The golden pins of the PCI card can be oxidized after months or years Q. 如何在 LabWindows/CVI 編譯 DAQ Card 程式? A: 請參考至下列步驟 : 步驟 1: 安裝驅動程式 1. 安裝 UniDAQ 驅動程式 UniDAQ 驅動程式下載位置 : CD:\NAPDOS\PCI\UniDAQ\DLL\Driver\ ftp://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/unidaq/dll/driver/

More information

!""#!$% & # &((! $% ) &((! %" & $!""# & # &((( )# &( &((! # &(((!*+ % *

!#!$% & # &((! $% ) &((! % & $!# & # &((( )# &( &((! # &(((!*+ % * +!" #!!$!%& ( % )% *) &!!) &!!( && &!!! " ""& + ""& ##" &!!% &( # !""#!$% & # &((! $% ) &((! %" & $!""# & # &((( )# &( &((! # &(((!*+ % * (!""#!""" $%%% &#% & $"! *!""! "#$!""! #%!% &% & &" ( #%!% % #)

More information

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn 1500 CIP 1500 /. 2006. 8 ISBN 7 5625 2128X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D920. 5 44 CIP 2006 087648 1500 388 430074 027 87482760 027 87481537 E-mail cbb@cug. edu. cn 2006 8 1 2006 8 1 850 1 168 1 /32 8. 625 220 26. 00 1.

More information

<4D F736F F D D332DA57CA7DEA447B14D2DB971BEF7B971A46CB873B8EAB971C3FEB14DA447B8D5C344>

<4D F736F F D D332DA57CA7DEA447B14D2DB971BEF7B971A46CB873B8EAB971C3FEB14DA447B8D5C344> 第一部份 : 數位邏輯. 有關 74 系列 TTL 數位 IC 之敘述, 下列何者錯誤? (A) 工作電壓範圍為 4.75 V~5.25 V (B) 開集極 (open-collector) 輸出結構, 可接為線接及閘 (Wired-AND Gate) 用 (C) 輸入接腳空接時, 視為高態輸入 (D) 輸出級的電晶體工作在主動區, 所以交換速度最快 2. 採用 2 的補數編碼的 8 位元數做減法運算,

More information

Microsoft Word - 數位邏輯學科題庫_500題_ doc

Microsoft Word - 數位邏輯學科題庫_500題_ doc 數 位 邏 輯 設 計 丙 級 能 力 認 證 學 科 應 試 題 庫 (500) 答 案 題 號 題 目 下 圖 電 路 符 號 為 何 種 邏 輯 閘? D 1 反 或 (NOR) 閘 (B) 或 (OR) 閘 (C) 互 斥 或 (XOR) 閘 (D) 反 互 斥 或 (XNOR) 閘 請 問 下 列 哪 一 個 電 路 符 號, 符 合 下 列 真 值 表? C 2 (B) (C) (D)

More information

"!! ! " # $! $&% ! " # $ %! " # $ & () #$*!!* %(* %$* # + !""!!##!"$$ %!""# &# & "$ ( & )*+ % ),+!""! )!"") -! -., ( &!""*!!! /0,#&# "*!""- % &#!# *$# !"!" ## $""" % & (()*) )*+ (, -".""" % &,(/0#1.""

More information

事业单位《公共基础知识》全真模拟卷(三)及答案

事业单位《公共基础知识》全真模拟卷(三)及答案 2013 年 事 业 单 位 考 试 公 共 基 础 知 识 全 真 模 拟 卷 ( 三 ) 及 答 案 来 源 :www.shiyebian.net 事 业 单 位 招 聘 考 试 网 一 单 项 选 择 题 ( 下 列 各 题 中 只 有 一 个 正 确 的 答 案, 请 将 它 的 代 码 选 出 填 涂 在 答 题 卡 上 每 小 题 1 分, 共 30 分 ) 1. 加 快 推 进 以 改

More information

台北市立成功高中九十學年度第一學期高三國文科期末考試題

台北市立成功高中九十學年度第一學期高三國文科期末考試題 台 北 市 立 成 功 高 級 中 學 一 0 二 學 年 度 第 一 學 期 範 圍 1. 課 本 : 第 五 冊 L13 典 論 論 文 第 六 冊 L1 諫 逐 客 書 L4 庖 丁 解 牛 2. 課 外 讀 本 : 與 吳 質 書 高 三 國 文 科 期 末 考 試 題 電 腦 卡 上 請 將 班 級 座 號 姓 名 劃 記 清 楚, 錯 誤 者 一 律 扣 十 分 一 單 一 選 擇 題

More information

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Announcement...P2 I. Introduction.....P3 II. Problem Statement..P3

More information

元 [ 所 17-1-2-3] IA27 ( D ) 下 列 何 項 情 況, 其 夫 妻 所 得 可 免 合 併 申 報? (A) 當 年 度 結 婚 (B) 當 年 度 離 婚 (C) 妻 58 歲, 夫 62 歲 無 所 得 受 其 子 扶 養 (D) 以 上 皆 是 [ 所 17-1-1]

元 [ 所 17-1-2-3] IA27 ( D ) 下 列 何 項 情 況, 其 夫 妻 所 得 可 免 合 併 申 報? (A) 當 年 度 結 婚 (B) 當 年 度 離 婚 (C) 妻 58 歲, 夫 62 歲 無 所 得 受 其 子 扶 養 (D) 以 上 皆 是 [ 所 17-1-1] 綜 合 所 得 稅 選 擇 題 題 庫 IA01 ( A ) 非 中 華 民 國 境 內 居 住 之 個 人, 取 有 中 華 民 國 境 內 銀 行 給 付 之 活 期 儲 蓄 存 款 利 息 所 得, 依 據 所 得 稅 法 規 定, 應 否 課 徵 綜 合 所 得 稅? (A) 應 就 源 扣 繳 (B) 全 年 在 27 萬 元 以 下 免 納 所 得 稅 (C) 應 該 辦 理 結 算 申

More information

2013年国家司法考试模拟试卷与答案

2013年国家司法考试模拟试卷与答案 更 多 内 容 请 查 看 精 品 文 库 网 www.jingpinwenku.com 2013 年 国 家 司 法 考 试 模 拟 试 卷 与 答 案 一 单 项 选 择 题 每 题 所 设 选 项 中 只 有 一 个 正 确 答 案, 多 选 错 选 或 不 选 均 不 得 分 本 部 分 含 1 50 题, 每 题 1 分, 共 50 分 第 1 题 张 某 从 银 行 贷 得 80 万 元

More information

實驗 使用 IPv4 和 IPv6 計算摘要路由 拓樸 位址分配表 子網 IPv4 位址 IPv6 位址 HQ 的 LAN / :DB8:ACAD:E::/64 HQ 的 LAN / :DB8:ACAD:F::/64 EAS

實驗 使用 IPv4 和 IPv6 計算摘要路由 拓樸 位址分配表 子網 IPv4 位址 IPv6 位址 HQ 的 LAN / :DB8:ACAD:E::/64 HQ 的 LAN / :DB8:ACAD:F::/64 EAS 拓樸 位址分配表 子網 IPv4 位址 IPv6 位址 HQ 的 LAN1 192.168.64.0/23 2001:DB8:ACAD:E::/64 HQ 的 LAN2 192.168.66.0/23 2001:DB8:ACAD:F::/64 EAST 的 LAN1 192.168.68.0/24 2001:DB8:ACAD:1::/64 EAST 的 LAN2 192.168.69.0/24 2001:DB8:ACAD:2::/64

More information

Microsoft PowerPoint - chap5.ppt

Microsoft PowerPoint - chap5.ppt 邏輯運算指令的動作 0 1 0 1 0 0 1 1 OR 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 1 (a) OR 運算 0 1 0 1 0 0 1 1 XOR 1 0 1 1 1 0 0 1 1 1 1 0 1 0 1 0 (c) XOR 運算 希望設定為 1 的位元 罩網標的位元組 新標的位元組 不改變的位元 希望取補數的位元 罩網標的位元組 新標的位元組 不改變的位元 1

More information

!! "#$% & ()*+,-. &/ 00 " %0#0 % 00 " %0#0 %1% 2 %1$ 2 % )869:;.,*8656<,*= 9*>? *> A6)5, B,55, C,*D, B6 E)*)7)55) " F9D,

!! #$% & ()*+,-. &/ 00  %0#0 % 00  %0#0 %1% 2 %1$ 2 % )869:;.,*8656<,*= 9*>? *> A6)5, B,55, C,*D, B6 E)*)7)55)  F9D, !!!!!!!!!!! !! "#$% & ()*+,-. &/ 00 " %0#0 % 00 " %0#0 %1% 2 %1$ 2 %13 4-+567)869:;.,*8656?884 2 @@@=.,*8656 A6)5, B,55, C,*D, B6 E)*)7)55) " F9D, G8)5H= !! "#$% "#& "# $ ())) "#& "##(!!

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

<4D F736F F D20A7EBBCD0B6B7AABEAAFEA5F3322D3935A67EB2C432A6B8B2C433B2D5C5E3A5DCA564B357BD64AED12E646F63>

<4D F736F F D20A7EBBCD0B6B7AABEAAFEA5F3322D3935A67EB2C432A6B8B2C433B2D5C5E3A5DCA564B357BD64AED12E646F63> 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 6600 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對應低階顯示卡到主要規格之項次 ) ( 備註 : 對應 1-1-2. 繪圖介面 : 支援 AGP 介面 8X( 含 ) 以上高速繪圖晶片項次為第 1 1-1-3. 提供 128MB 64 位元 DDR SDRAM(

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_09

Microsoft PowerPoint - C15_LECTURE_NOTE_09 MEMORY DEVICES, CIRCUITS, AND SUBSYSTEM DESIGN MEMORY DEVICES, CIRCUITS, AND SUBSYSTEM DESIGN 9.1 Program and Data Storage 9.2 Read-Only Memory 9.3 Random Access Read/Write Memories 9.4 Parity, the Parity

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 7600 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對低階顯示卡應到主要規格之項次 ) ( 備註 : 對應 1-1-2. 繪圖介面 : 支援 AGP 介面 8X( 含 ) 以上高速繪圖晶片項次為第 1 1-1-3. 提供 128MB 64 位元 DDR SDRAM(

More information

Microsoft Word

Microsoft Word 105 年特種考試地方政府公務人員考試試題 代號 : 5434 頁次 : 4-1 等別 : 四等考試類科 : 資訊處理科目 : 計算機概要考試時間 : 1 小時座號 : 注意 : 本試題為單一選擇題, 請選出一個正確或最適當的答案, 複選作答者, 該題不予計分 共 40 題, 每題 2.5 分, 須用 2B 鉛筆在試卡上依題號清楚劃記, 於本試題上作答者, 不予計分 禁止使用電子計算器 1 組成 CPU

More information

Ps22Pdf

Ps22Pdf 0146) : 2 /. :, 2004. 7 ISBN 7-80153 - 957-5.... G726. 9 CIP ( 2004) 069174 : 2 : : : : : : 2 : 100733 : 010-65369524 65369530 : : : 880mm 1230mm 1 /32 : 2800 : 122 : 5000 : 2006 8 1 2 : ISBN 7-80153 -

More information

6 2012 8476你猜得到它是什麼嗎 它就是 高分子! 生活中的高分子 有種物質的重要性不亞於我們所呼吸的空氣, 不管身在何處, 都有它的存在, 它甚至就隱藏在人體裡面! 人類若失去了它, 就得回到遠古時代, 甚至可能終止生命 高分子是什麼 高分子是由千個 甚至萬個以上的原子所組成, 呈現柔軟的特性 日常生活中使用的塑膠袋就是由高分子製造的, 人體組成中的 DNA 也是一種高分子 2012 8476

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

% +$ )!#$ %"!# & #!$ %" " ( ) * $ %!+$ %" -! < % 2 > E B > +? F! = E H > =+!! E H2 > 3 / /!!$ *" ( %, -.!!/ + ( ) %!,! %!, - ) > 3 2 > #= =

% +$ )!#$ %!# & #!$ %  ( ) * $ %!+$ % -! < % 2 > E B > +? F! = E H > =+!! E H2 > 3 / /!!$ * ( %, -.!!/ + ( ) %!,! %!, - ) > 3 2 > #= = #$$%!#&!!$!#(!$$!$) )$ )) $* &) ))!+, -.!,. #&. +-. " "! "#!" # $!* /" 0 1!#* 2" $ #* 0"!+* 1" 2 / +* 2" +%$!* & )$ #($!& #&& 3 -% 4 #&& 4!* & 5 +%$ 6,$* -& 0 (* 0" (&7 #!7+(89 %,7#%8: %,7#%8:!(* 1", (!#!7;

More information