a b c d e f g C2 C1 2

Similar documents
B 6 A A N A S A +V B B B +V 2

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

IC芯片自主创新设计实验

⊙内容:常用逻辑电路设计

1 1

untitled

1 什么是Setup 和Holdup时间?

untitled

逢 甲 大 學

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

行业


!"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11

!! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3

第一章

CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2

行业

ebook105-1

行业

威 福 髮 藝 店 桃 園 市 蘆 竹 區 中 山 里 福 祿 一 街 48 號 地 下 一 樓 50,000 獨 資 李 依 純 105/04/06 府 經 登 字 第 號 宏 品 餐 飲 桃 園 市 桃 園 區 信 光 里 民

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

Microsoft PowerPoint - EDA-理论3 [兼容模式]

1 TPIS TPIS 2 2

行业

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

(精校版)陕西省语文卷文档版(含答案)-2011年普通高等学校招生统一考试.doc

邏輯分析儀的概念與原理-展示版

了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的

壹、摘 要

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

目录

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

中文手册

教 务 信 息 教 学 日 常 运 行 工 作 4 月 6 日, 教 务 部 高 丽 琴 老 师 参 加 南 昌 市 教 育 局 2016 年 中 小 学 教 师 资 格 认 定 工 作 培 训 会 2016 年 教 师 资 格 认 定 工 作 是 从 省 考 向 国 考 过 渡 后 的 第 一 次

Microsoft PowerPoint ?????????3 [Compatibility Mode]

派遣公司人力資源管理措施對派遣人員離職傾向之影響:

计算机网络与经济(二).doc

1 CPU

Microsoft PowerPoint - STU_EC_Ch08.ppt

同步网络中的高性能线卡时钟解决方案

KT-SOPCx开发套件简明教程

Microsoft Word doc

audiogram3 Owners Manual

untitled

History 97 97Universal Universal 98Universal 98Magnetek Magnetek ighting Group Universal Technologies ighting Energy Saving Incorporation

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

第 二 章 古 代 慢 慢 睁 开 眼 睛, 我 的 面 前 出 现 一 个 女 孩 子, 大 约 十 六 七 岁, 身 穿 淡 绿 色 布 裙, 头 上 两 个 小 圆 髻 特 别 娇 俏 可 爱 医 院 什 么 时 候 出 现 这 么 一 个 可 爱 的 古 装 护 士 啊! 这 医 院 真 有

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

Microsoft Word - 中耳的主要疾病~中耳炎.doc

5m m SE2L m 1 170cm 70cm RS IDEC 2 RF2 4 6 RF1V 2

untitled

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

2

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

untitled

ebook122-11

2/80 2

第一章.doc

行业

12232A LED LED LED EL EL CCFL EL CCF

bingdian001.com

untitled

\\Server\技術部結案計劃\已量產\

CDMA扫频仪测试说明

《手机维修原理及维修实例详解》目录

CL-S10w

MT5V4Borchure.cdr

untitled

第3节 VHDL语言的常用语法

51 C 51 isp 10 C PCB C C C C KEIL

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK

LK110_ck

!!

???????_???? Final.pdf

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

穨良導絡值與驗診壓力之關聯研究

Agenda PXI PXI

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

P3B-F Pentium III/II/Celeron TM

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

廣東普法 GUANG DONG THE FRANCO PRUSSIAN 本出版物内容未经许可 不得转载 违者必究 内部资料 免费交流 CONTENTS 以案释法 / P54 目录 工作集锦 / P56 卷首语 / P1 高层声音 / P4 吴爱英 深入扎实抓好司法行政各项改革落实工作 4 主办 广东

FM1935X智能非接触读写器芯片

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

Data Management Software CL-S10w

ISO h.PDF

序言.PDF

untitled

行业

Transcription:

a b c d e f g C2 C1 2

IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3

BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4 C2 C1 sel 1 to 2 decoder M0 M1 4

clk Clk_out1 Clk_in Clk_out2 Clk_1Hz Clk_64Hz clk ce Rst-n q_one q_ten 4 4 q_one Seg-out Seg-one-en q_ten clk Seg-ten-en Seg-en Clk_div_1_64 Up_counter4_10 Bin2seg0_scan ce Rst_n Seg_en Led_en 4 Led_en bin 4 to 8 decoder Bin2led Led() Led(6) Led(5) Led(4) Led(3) Led(2) Led(1) Led(0) 5

6 b b a f g COM1 COM2 c e dot d SEGx2 VCC LED0 Led_en a LED5 e SW1 Seg_ten_en LED3 LED1 ce Seg_out0 SW2 SW3 U EPM064S/LCC44 4 5 6 8 9 11 12 14 16 1 18 19 20 21 24 25 26 2 3 39 41 40 43 I/GCLK1 d Seg_out6 Seg_en CLK f Seg_out5 LED2 Seg_one_en g C2 VCC Seg_out1 Seg_out3 C1 Seg_out4 SW4 Seg_out2 Rst_n LED4 LED c dot LED6

11 ---------------------------------------------- 12 entity clk_div_1_64 is 13 port( 14 clk_in : in std_logic; 15 clk_out1: out std_logic; 16 clk_out2: out std_logic 1 ); 18 end clk_div_1_64; 19 20 architecture a of clk_div_1_64 is 21 22 ------SIGNAL DECLARED------------- 23 signal cnt : std_logic_vector(20 downto 0); --** counter 24 signal reset: std_logic; --reset 25 begin 26 2 ------PROGRAM BODY-------------------- 28 process (clk_in) 29 begin 30 if reset='1' then 31 cnt<="000000000000000000000"; 32 elsif clk_in'event and clk_in='1' then 33 cnt<=cnt+1; 34 end if; 35 end process; 36 3 reset<='1' when cnt=25000000 else '0'; --** divisor 25000000 38 clk_out1<=cnt(20); 39 clk_out2<=cnt(14); 40 41 end a;

12 entity up_counter4_10 is 13 port( 14 clk : in std_logic; --system clock 15 rst_n : in std_logic; --reset 16 ce : in std_logic; --chip enable 1 q_one : out std_logic_vector(3 downto 0); --counter one output 18 q_ten : out std_logic_vector(3 downto 0) --counter ten output 19 ); 20 end up_counter4_10; 21 22 architecture a of up_counter4_10 is 23 24 ---------SIGNAL DECLARED------------------------ 25 signal q_one_temp : std_logic_vector(3 downto 0); --temp q_one 26 signal q_ten_temp : std_logic_vector(3 downto 0); --temp q_ten 2 begin 28 8

29-----------PROGRAM BODY-------------------------- 30 process (clk) 31 begin 32 if (ce='0' or rst_n='0') then 33 q_one_temp<="0000"; 34 q_ten_temp<="0000"; 35 elsif clk'event and clk='1' then 36 if (q_one_temp>=9) then --carry in (one) 3 q_one_temp<="0000"; 38 if (q_ten_temp>=9) then --carry in (ten) 39 q_ten_temp<="0000"; 40 else 41 q_ten_temp<=q_ten_temp+1; 42 end if; 43 else 44 q_one_temp<=q_one_temp+1; 45 end if; 46 end if; 4 end process; 48 49 q_one<=q_one_temp; 50 q_ten<=q_ten_temp; 51 52 end a; 9

23 entity bin2seg0_scan is 24 port ( 25 clk : in std_logic; --64hz clock 26 seg_en: in std_logic; --segment enable 2 q_one: in std_logic_vector(3 downto 0); --binary input of one 28 q_ten: in std_logic_vector(3 downto 0); --binary input of ten 29 seg_out : out std_logic_vector(6 downto 0); --segment output 30 seg_one_en: out std_logic; --segment one enable 31 seg_ten_en: out std_logic --segment ten enable 32 ); 33 end bin2seg0_scan; 34 35 architecture arch of bin2seg0_scan is 36 3-------SIGNAL DECLARED------------------------------------------- 38 signal bin: std_logic_vector(3 downto 0); --binary code 39 signal seg: std_logic_vector(6 downto 0); --segment code 40 signal sel: integer range 0 to 1; --scan coutnter 41 begin 10

42 43 ---------PROGRAM BODY-------------- 44 ----------scan and signal assign--- 45 process (clk, seg_en) 46 begin 4 if clk'event and clk='1' then 48 if (seg_en='0') then 49 seg_one_en<='0'; 50 seg_ten_en<='0'; 51 sel<=0; 52 else 53 sel<=sel+1; 54 case sel is 55 when 0 => 56 bin<=q_one; 5 seg_out<=seg; 58 seg_one_en<='0'; 59 seg_ten_en<='1'; 60 when 1 => 61 bin<=q_ten; 62 seg_out<=seg; 63 seg_one_en<='1'; 64 seg_ten_en<='0'; 65 when others => 66 null; 6 end case; 68 end if; 69 end if; 0 end process; 1 11

2 ------binary to seven segment decoder----- 3 process (bin) 4 begin 5 case bin is 5 when "0000" => seg <= "1000000"; -- 0 active low '0' when "0001" => seg <= "1111001"; -- 1 8 when "0010" => seg <= "0100100"; -- 2 9 when "0011" => seg <= "0110000"; -- 3 80 when "0100" => seg <= "0011001"; -- 4 81 when "0101" => seg <= "0010010"; -- 5 82 when "0110" => seg <= "0000010"; -- 6 83 when "0111" => seg <= "1111000"; -- 84 when "1000" => seg <= "0000000"; -- 8 85 when "1001" => seg <= "0010000"; -- 9 86 when others => seg <= "1111111"; 8 end case; 88 end process; 89 90 end arch; 12

2 -------COMPONENT DECLARED--------------------- 11 entity up_scan_top is 28 ---------clk_div 1hz 64hz component---------- 12 port ( 29 component clk_div_1_64 13 clk : in std_logic; -- 1.8432 MHz 30 port( 14 rst_n : in std_logic; 31 clk_in : in std_logic; 15 ce : in std_logic; 32 clk_out1: out std_logic; 16 led_en : in std_logic; 33 clk_out2: out std_logic 1 seg_en : in std_logic; 34 ); 18 led : out std_logic_vector( downto 0); 35 end component; 19 seg_out : out std_logic_vector(6 downto 0); 36 20 seg_one_en: out std_logic; 3 ---------up_counter_4_10 component---------- 21 seg_ten_en: out std_logic 38 component up_counter4_10 22 ); 39 port( 23 end up_scan_top; 40 clk : in std_logic; --system clock 24 41 rst_n : in std_logic; --reset 25 architecture arch of up_scan_top is 42 ce : in std_logic; --chip enable46 end 26 component; 13

43 q_one : out std_logic_vector(3 downto 0); --counter one output 44 q_ten : out std_logic_vector(3 downto 0) --counter ten output 45 ); 46 end component; 4 48 ---------binary to segment decoder--------- 49 component bin2seg0_scan 50 port ( 51 clk : in std_logic; --64hz clock 52 seg_en : in std_logic; --segment enable 53 q_one : in std_logic_vector(3 downto 0); 54 q_ten : in std_logic_vector(3 downto 0); 55 seg_out : out std_logic_vector(6 downto 0); 56 seg_one_en: out std_logic; 5 seg_ten_en: out std_logic 58 ); 59 end component; 60 14

61 ---------binary to led8 decoder--------- 62 component bin2led0_10 63 port ( 64 led_en : in std_logic; 65 bin : in std_logic_vector (3 downto 0); led : out std_logic_vector ( downto 0) 6 ); 68 end component; 69 0 ---------SIGNAL DECLARED---------------------- 1 signal clk_1hz : std_logic; 2 signal clk_64hz: std_logic; 3 signal q_one: std_logic_vector(3 downto 0); 4 signal q_ten: std_logic_vector(3 downto 0); 5 6 begin 8 ----------Frequency divider---------- 9 u1: clk_div_1_64 port map (clk, clk_1hz, clk_64hz); 80 81 ----------4 bit up counter---------- 82 u2: up_counter4_10 port map (clk_1hz, rst_n, ce, q_one, q_ten); 83 84 ----------binary to LED decoder---------- 85 u3: bin2led0_10 port map (led_en, q_one, led); 86 8 ----------binary to seven segment decoder---------- 88 u4: bin2seg0_scan port map (clk_64hz, seg_en, q_one, q_ten, seg_out, 89 seg_one_en, seg_ten_en); 90 91 end arch; 15

16 VCC clk INPUT VCC led_en INPUT VCC seg_en INPUT VCC ce INPUT VCC rst_n INPUT seg_out[6..0] OUTPUT led[..0] OUTPUT seg_ten_en OUTPUT seg_one_en OUTPUT clk_in clk_out1 clk_out2 clk_div _1_64 inst clk rst_n ce q_one[3..0] q_ten[3..0] up_counter4_10 inst1 clk seg_en q_one[3..0] q_ten[3..0] seg_out[6..0] seg_one_en seg_ten_en bin2seg0_scan inst2 led_en bin[3..0] led[..0] bin2led0_10 inst3

CPLD Rst_n 222 Seg_out(0) 203 ce 223 Seg_out(1) 202 Seg_en 225 Seg_out(2) 201 clk 28 Seg_out(3) 200 Seg_out(4) 199 Seg_out(5) 198 Seg_out(6) 19 Seg_one_en 195 Seg_tne_en 194 1