bingdian001.com

Size: px
Start display at page:

Download "bingdian001.com"

Transcription

1 TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk) = 4 MHz 8MHz TIM_DLY_CNT ****************************************************************************** #include "TSM12.h" #include "key.h" u8 fg_tsm_int = 0; /* extern void delay_ms(u16 n_ms); void TSM12_IO_Init(PWR_Mode_TypeDef pwr_st) if(pwr_st == PWR_LPM) scl, sda, en GPIO_Init(TSM_GPIO_PORT, TSM_SCL_PIN TSM_SDA_PIN TSM_EN_PIN, GPIO_Mode_Out_PP_High_Fast);

2 INT GPIO_Init(TSM_GPIO_PORT, TSM_INT_PIN, GPIO_Mode_In_PU_IT); EXTI_SetPinSensitivity(TSM_EXTI_INT_PIN, EXTI_Trigger_Falling); KeyValue_Last = KeyValue= KeyValue_Ready = keynull; if(pwr_st == PWR_ACTIVE) INT GPIO_Init(TSM_GPIO_PORT, TSM_INT_PIN, GPIO_Mode_In_PU_No_IT); void TSM12_IO_Init(void) GPIO_Init(TSM_GPIO_PORT, TSM_EN_PIN TSM_SDA_PIN TSM_SCL_PIN, GPIO_Mode_Out_PP_High_Fast); GPIO_Init(TSM_INT_GPIO_PORT, TSM_INT_PIN, GPIO_Mode_In_FL_IT); EXTI_SetPinSensitivity(EXTI_Pin_2, EXTI_Trigger_Falling); /******************************************************************************* * : * : * : * : 4MHZ nop() ******************************************************************************

3 static void delayus(u8 dat) for(u8 i=0; i<dat; i++) IWDG->KR = IWDG_KEY_REFRESH; Clear_WDT(); nop(); nop(); nop(); nop(); /* static void I2C_Start(void) TSM_SDA_OUT(); TSM_SCL_H; TSM_SDA_H; TSM_SDA_L; /* 4.7us TSM_SCL_L; /* static void I2C_Stop(void)

4 TSM_SDA_OUT(); TSM_SCL_L; TSM_SDA_L; TSM_SCL_H; TSM_SDA_H; /* This function sends a device Ack or NAck signal When you want to send ACK signal to slave device, you make back 0. When you want to send NACK signal to slave device, you make back 1. NONE static void I2C_SendAck(u8 back) TSM_SDA_OUT(); if(back) TSM_SDA_H; else TSM_SDA_L; TSM_SCL_H; delayus(5); TSM_SCL_L;

5 /* ACK 0- ACK 1- ACK static u8 I2C_WaitAck(void) volatile u16 i; TSM_SDA_IN(); if(tsm_sda_read()) TSM_SCL_L; return 1; TSM_SCL_L; return 0; /* dat ( ) 8 dat dat static void I2C_WriteByte(u8 dat) u8 i;

6 TSM_SDA_OUT(); TSM_SCL_L; for(i=0; i<8; i++ ) if(dat & 0x80) TSM_SDA_H; else TSM_SDA_L; TSM_SCL_H;/* TSM_SCL_L; delayus(1); dat <<= 1; TSM_SDA_H; TSM_SCL_H; /* static u8 I2C_ReadByte(void)

7 u8 i,temp = 0; TSM_SDA_IN();/* TSM_SCL_L;/* for(i= 0; i<8; i++) temp <<= 1; TSM_SCL_H; /* if(tsm_sda_read()) /* temp temp++; TSM_SCL_L; return temp; /* Beautiful part line /* TSM12 writedata-- WriteAddr--

8 ErrorStatus u8 TSM_Write_Byte(u8 WriteAddr,u8 WriteData) /* I2C_Start(); /* I2C_WriteByte(DEVICE_WRITE_ADDR); if(i2c_waitack()) return ERROR; /* I2C_WriteByte(WriteAddr); if(i2c_waitack()) return ERROR; /* I2C_WriteByte(WriteData); if(i2c_waitack()) return ERROR; /* I2C_Stop(); return SUCCESS; /* GT216L writedata1 2-- WriteAddr-- ErrorStatus

9 u8 TSM_Write_2Byte(u8 WriteAddr, u8 WriteData1, u8 WriteData2) /* I2C_Start(); /* I2C_WriteByte(DEVICE_WRITE_ADDR); if(i2c_waitack()) return ERROR; /* I2C_WriteByte(WriteAddr); if(i2c_waitack()) return ERROR; /* 1 LSB I2C_WriteByte(WriteData1); if(i2c_waitack()) return ERROR; /* 2 MSB I2C_WriteByte(WriteData2); if(i2c_waitack()) return ERROR; /* I2C_Stop(); return SUCCESS; /******************************************************************************* * : TSM_ReadOneByte() * :

10 * : writeaddr-- * :, ERROR * : ****************************************************************************** u8 TSM_ReadOneByte(u8 writeaddr) u8 buffer = 0; /* I2C_Start(); /* I2C_WriteByte(DEVICE_WRITE_ADDR); if(i2c_waitack()) goto L_OUT; /* I2C_WriteByte(writeAddr); if(i2c_waitack()) goto L_OUT; /* I2C_Stop(); /* I2C_Start(); /* GT216L I2C_WriteByte(DEVICE_READ_ADDR); if(i2c_waitack()) goto L_OUT;

11 /* buffer = I2C_ReadByte(); I2C_SendAck(1); /* I2C_Stop(); return buffer; L_OUT: /* I2C_Stop(); return ERROR; /* writeaddr -- *butter -- length -- ErrorStatus u8 TSM_ReadMutiBytes(u8 writeaddr, u8 *buffer, u8 length) u8 i; /*

12 I2C_Start(); /* I2C_WriteByte(DEVICE_WRITE_ADDR); if(i2c_waitack()) goto L_OUT; /* I2C_WriteByte(writeAddr); if(i2c_waitack()) goto L_OUT; /* I2C_Stop(); /* I2C_Start(); /* GT216L I2C_WriteByte(DEVICE_READ_ADDR); if(i2c_waitack()) goto L_OUT; /* for( i = 0; i<length; i++ ) buffer[i] = I2C_ReadByte(); if( i == (length-1) ) I2C_SendAck(1); NAck = 1 else I2C_SendAck(0); Ack = 0

13 /* I2C_Stop(); return SUCCESS; L_OUT: /* I2C_Stop(); return ERROR; /******************************************************************************* * : TSM12_Init() * : TSM12M * : * : * : ****************************************************************************** void TSM12_Init(void) u16 i; /* Power ON TSM_EN_L; delay_ms(100); wait for 100ms for(i = TIM_DLY_CNT; i>0; i--) delayus(100); /* H/W Reset, enable software reset TSM_Write_Byte(CTRL2, 0x0F);enable sleep mode

14 TSM_Write_Byte(CTRL2, 0x09);enable sleep mode delay_ms(200); /* Sensitivity setting,0x00 TSM_Write_Byte(SENS1, CH_SENS(3,3)); 9, 6 TSM_Write_Byte(SENS2, CH_SENS(3,3)); 3, # TSM_Write_Byte(SENS3, CH_SENS(3,3)); 8, 5 TSM_Write_Byte(SENS4, CH_SENS(3,3)); 2, 0 TSM_Write_Byte(SENS5, CH_SENS(3,3)); *, 1 TSM_Write_Byte(SENS6, CH_SENS(3,3)); 4, 7 /* General Control Register1 setting TSM_Write_Byte(CTRL1, 0x33); TSM_Write_2Byte(REF_RST1, 0x00, 0x00);renew value of everyone channel TSM_Write_2Byte(CH_HOLD1, 0x00, 0x00);enable all channels TSM_EN_H;TSM12 I2C disable reset off TSM_Write_Byte(CTRL2, 0x03);Normal mode, disable sleep mode /******************************************************************************* * : TSM12_Into_Sleep_Mode() * : TSM12M * :

15 * : * : ****************************************************************************** void TSM12_Into_Sleep_Mode(void) u16 i; TSM_EN_H; TSM_EN_L for(i = TIM_DLY_CNT; i>0; i--) delayus(100); TSM_EN_L;i2c enable delay_ms(100); WaitByX10ms(10); for(i = TIM_DLY_CNT; i>0; i--) delayus(100); TSM_Write_Byte(CTRL2, 0x07); TSM_EN_H; /******************************************************************************* * : TSM12_Exit_Sleep_Mode() * : TSM12M * : * : * : ****************************************************************************** void TSM12_Exit_Sleep_Mode(void)

16 u16 i; TSM_EN_L;i2c enable for(i = TIM_DLY_CNT; i>0; i--) delayus(100); TSM_Write_Byte(CTRL2, 0x03); TSM_EN_H; /*******************************END OF FILE*********************************************** /******************************************************************************* * : TSM12.h * : * : 2013/10/21 * : TSM STM8LR8T6 MSP430 ****************************************************************************** #ifndef TSM12_H #define TSM12_H #include "general.h" #include "HW_Config.h" #define DEVICE_WRITE_ADDR 0xD0

17 #define DEVICE_READ_ADDR 0xD1 /* a,b Ch_n CH_n ~ 7( ) 2. 9 ~ F( ) 2 1 #define CH_SENS(a,b) 0x##a##b #define TIM_DLY_CNT (u16)150, STM8L fsysclk = 8MHZ #define TIM_DLY_CNT (u16)100 fsystclk = 4MHZ #if 1 function pins define #define TSM_GPIO_PORT #define TSM_SDA_PIN #define TSM_SCL_PIN #define TSM_EN_PIN GPIOF GPIO_Pin_5 GPIO_Pin_6 GPIO_Pin_4 #define TSM_INT_GPIO_PORT GPIOE #define TSM_INT_PIN GPIO_Pin_2 #define TSM_EXTI_INT_PIN EXTI_Pin_2 #else #define TSM_GPIO_PORT #define TSM_SDA_PIN #define TSM_SCL_PIN #define TSM_EN_PIN GPIOE GPIO_Pin_1 GPIO_Pin_0 GPIO_Pin_3 #define TSM_INT_GPIO_PORT GPIOE

18 #define TSM_INT_PIN GPIO_Pin_2 #define TSM_EXTI_INT_PIN EXTI_Pin_2 #endif #define TSM_SDA_OUT() TSM_GPIO_PORT->DDR = TSM_SDA_PIN output mode #define TSM_SDA_IN() GPIO_Init(TSM_GPIO_PORT, TSM_SDA_PIN, GPIO_Mode_In_FL_No_IT) #define TSM_SDA_H #define TSM_SDA_L TSM_GPIO_PORT->ODR = TSM_SDA_PIN TSM_GPIO_PORT->ODR &= ~TSM_SDA_PIN #define TSM_SCL_H #define TSM_SCL_L TSM_GPIO_PORT->ODR = TSM_SCL_PIN TSM_GPIO_PORT->ODR &= ~TSM_SCL_PIN #define TSM_EN_H #define TSM_EN_L TSM_GPIO_PORT->ODR = TSM_EN_PIN TSM_GPIO_PORT->ODR &= ~TSM_EN_PIN #define TSM_SDA_READ() GPIO_ReadInputDataBit(TSM_GPIO_PORT, TSM_SDA_PIN) SDA msp430 #define TSM_SDA_DIR P1DIR #define TSM_SDA_REG_OUT P1OUT #define TSM_SDA_REG_IN P1IN #define TSM_SDA_IE #define TSM_SDA_SEL #define TSM_SDA_PIN P1IE P1SEL BIT1 #define TSM_SCL_DIR #define TSM_SCL_OUT #define TSM_SCL_IE #define TSM_SCL_SEL #define TSM_SCL_PIN P1DIR P1OUT P1IE P1SEL BIT0 #define TSM_EN_DIR P8DIR

19 #define TSM_EN_OUT #define TSM_EN_IE #define TSM_EN_SEL #define TSM_EN_PIN P8OUT P8IE P8SEL BIT2 #define TSM_INT_DIR #define TSM_INT_OUT #define TSM_INT_IN #define TSM_INT_IE #define TSM_INT_IES #define TSM_INT_SEL #define TSM_INT_PIN P1DIR P1OUT P1IN P1IE P1IES P1SEL BIT2 #define TSM_SDA_OUT() TSM_SDA_DIR = TSM_SDA_PIN output mode #define TSM_SDA_IN() TSM_SDA_DIR &= ~TSM_SDA_PIN #define TSM_SDA_H #define TSM_SDA_L TSM_SDA_REG_OUT = TSM_SDA_PIN TSM_SDA_REG_OUT &= ~TSM_SDA_PIN #define TSM_SCL_H #define TSM_SCL_L TSM_SCL_OUT = TSM_SCL_PIN TSM_SCL_OUT &= ~TSM_SCL_PIN #define TSM_EN_H #define TSM_EN_L TSM_EN_OUT = TSM_EN_PIN TSM_EN_OUT &= ~TSM_EN_PIN #define TSM12_I2C_ON() TSM_EN_L #define TSM_SDA_READ() GPIO_ReadInputDataBit(TSM_GPIO_PORT, TSM_SDA_PIN) SDA #define TSM_SDA_READ() ((TSM_SDA_REG_IN & TSM_SDA_PIN) >> 1) /* TSM12M Register Map typedef enum

20 SENS1 = 0x02, Sensitivity, Channel 2 and 1 SENS2 = 0x03, Channel 4 and 3 SENS3 = 0x04, Channel 6 and 5 SENS4 = 0x05, Channel 8 and 7 SENS5 = 0x06, Channel 10 and 9 SENS6 = 0x07, Channel 12 and 11 CTRL1 = 0x08, CTRL2 = 0x09, REF_RST1 = 0x0A, REF_RST2 = 0x0B, CH_HOLD1 = 0x0C, CH_HOLD2 = 0x0D, CAL_HOLD1 = 0x0E, CAL_HOLD2 = 0x0F, OUTPUT1 = 0x10, OUTPUT2 = 0x11, OUTPUT3 = 0x12, TSM_RegMapAddr_TypeDef; /* External varialbles extern u8 fg_tsm_int; /* External functions extern void TSM12_IO_Init(void); extern void TSM12_Init(void); extern u8 TSM_ReadOneByte(u8 writeaddr); extern u8 TSM_ReadMutiBytes(u8 writeaddr, u8 *buffer, u8 length); extern void TSM12_Into_Sleep_Mode(void); extern void TSM12_Exit_Sleep_Mode(void); #endif

21 /* END OF FILE

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

+00DE _01EN.book

+00DE _01EN.book TCS-NET MODBUS TCS-NET Modbus TCB-IFMB640TLE 1...... 2 2 RS 485... 3 3... 3 4... 4 5... 4 6... 5 7... 6 8... 16 TCS-NET Modbus 1 http://www.modbus-ida.org/ >=3.5 8 8 N*8 ( N = 252.) 16 >= 3.5 Modbus-Master

More information

FY.DOC

FY.DOC 高 职 高 专 21 世 纪 规 划 教 材 C++ 程 序 设 计 邓 振 杰 主 编 贾 振 华 孟 庆 敏 副 主 编 人 民 邮 电 出 版 社 内 容 提 要 本 书 系 统 地 介 绍 C++ 语 言 的 基 本 概 念 基 本 语 法 和 编 程 方 法, 深 入 浅 出 地 讲 述 C++ 语 言 面 向 对 象 的 重 要 特 征 : 类 和 对 象 抽 象 封 装 继 承 等 主

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40

C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40 C C trio@seu.edu.cn C C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40 Week3 C Week5 Week5 Memory & Pointer

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

untitled

untitled A, 3+A printf( ABCDEF ) 3+ printf( ABCDEF ) 2.1 C++ main main main) * ( ) ( ) [ ].* ->* ()[] [][] ** *& char (f)(int); ( ) (f) (f) f (int) f int char f char f(int) (f) char (*f)(int); (*f) (int) (

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63>

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63> SL-1356MOD-SU 射 频 卡 读 写 模 块 使 用 说 明 一. 概 述 双 龙 公 司 针 对 支 付 系 统 公 共 交 通 门 禁 锁 具 设 备 管 理 二 代 身 份 证 等 行 业 推 出 SL-1356MOD-SU 射 频 卡 读 写 模 块 该 模 块 全 面 支 持 ISO14443 A/B -1-2 -3-4 标 准, 适 用 于 读 写 各 种 符 合 ISO14443

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

新版 明解C++入門編

新版 明解C++入門編 511!... 43, 85!=... 42 "... 118 " "... 337 " "... 8, 290 #... 71 #... 413 #define... 128, 236, 413 #endif... 412 #ifndef... 412 #if... 412 #include... 6, 337 #undef... 413 %... 23, 27 %=... 97 &... 243,

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

Car DVD API User Manual V0.2 Feb 03, 2008

Car DVD API User Manual V0.2 Feb 03, 2008 Car DVD API User Manual V0.2 Feb 03, 2008 Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLOGY CO. is

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

( CIP) /. :, ( ) ISBN TP CIP ( 2005) : : : : * : : 174 ( A ) : : ( 023) : ( 023)

( CIP) /. :, ( ) ISBN TP CIP ( 2005) : : : : * : : 174 ( A ) : : ( 023) : ( 023) ( CIP) /. :, 2005. 2 ( ) ISBN 7-5624-3339-9.......... TP311. 1 CIP ( 2005) 011794 : : : : * : : 174 ( A ) :400030 : ( 023) 65102378 65105781 : ( 023) 65103686 65105565 : http: / /www. cqup. com. cn : fxk@cqup.

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

nooog

nooog C : : : , C C,,, C, C,, C ( ), ( ) C,,, ;,, ; C,,, ;, ;, ;, ;,,,, ;,,, ; : 1 9, 2 3, 4, 5, 6 10 11, 7 8, 12 13,,,,, 2008 1 1 (1 ) 1.1 (1 ) 1.1.1 ( ) 1.1.2 ( ) 1.1.3 ( ) 1.1.4 ( ) 1.1.5 ( ) 1.2 ( ) 1.2.1

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++;

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; Memory & Pointer trio@seu.edu.cn 2.1 2.1.1 1 int *p int a 0x00C7 0x00C7 0x00C7 2.1.2 2 int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; 2.1.3 1. 2. 3. 3 int A,

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

2 = ( O C) =? C 112 + 120 100% = 18. 08% 1051 + 232 p ( 1 p) / n 100% 0. 1808( 1 0. 1808) / 1283 100% = 1. 07% 328 + 294 100% = 17. 9% 3469 0. 179( 1 0179. ) / 3469 = 0. 65% { m-sn m-w w-sn sn3 w m

More information

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路 2015 年 容 器 检 验 员 取 证 邮 寄 证 书 查 询 邮 寄 日 期 2016.2.26 查 询 网 址 http://yjcx.chinapost.com.cn/zdxt/yjcx/ 省 份 姓 名 工 作 单 位 通 信 地 址 邮 政 编 码 挂 号 号 码 山 东 丁 宪 振 山 东 省 特 种 设 备 检 验 研 究 院 山 东 省 济 南 市 高 新 区 天 辰 大 街 939

More information

26 D00 27 D02 28 D03 29 D05 30 D06 31 D10 32 D12 33 D13 34 D14 35 D16 36 D17 37 D18, 38 D19 39 D20 40 D21 41 D22 42 D23 43 D24 44 D25 45 D26 46 D27 47

26 D00 27 D02 28 D03 29 D05 30 D06 31 D10 32 D12 33 D13 34 D14 35 D16 36 D17 37 D18, 38 D19 39 D20 40 D21 41 D22 42 D23 43 D24 44 D25 45 D26 46 D27 47 2 (600 ) 1 A03 2 A18 3 A23 4 A38 5 A39 6 A41 7 A59 [ ] 8 B15 9 B16 10 B17 11 B18 12 B19 13 B30 14 B37 15 C47 16 C50 17 C53 18 C54 19 C56 20 C60 21 C62 22 C64 ( ) 23 C65 24 C66 25 C71 16 26 D00 27 D02 28

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

概述

概述 OPC Version 1.6 build 0910 KOSRDK Knight OPC Server Rapid Development Toolkits Knight Workgroup, eehoo Technology 2002-9 OPC 1...4 2 API...5 2.1...5 2.2...5 2.2.1 KOS_Init...5 2.2.2 KOS_InitB...5 2.2.3

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

an153f

an153f 153 2016 1 Linduino Michael Jones (PSM) LTpowerPlay (BMC) PSM PSM PMBus PMBus SMBus SMBus I2C PSM BMC Linduino (API) PSM Linduino PSM BMC BMC Robust PMBus System Software for the LTC3880) I 2 C / SMBus

More information

LBS 行 200 /0 /2 3. 行 ALFA AUTO. MACHINERY CO. LTD

LBS 行 200 /0 /2 3. 行 ALFA AUTO. MACHINERY CO. LTD LBS 行 200/0/2 3. 行 ALFA AUTO. MACHINERY CO. LTD 錄 1. 0-1 錄 1.1... 1-1 1.2... 1-2 1.3 流 力 說... 1-5 1.4... 1-6 1.5 路... 1-7 1.6 連 路說... 1-8 1.7 說... 1-9 1.8 / 說... 1-10 1.9 說... 1-12 1.10........ 1-13 1.11

More information

新・明解C言語入門編『索引』

新・明解C言語入門編『索引』 !... 75!=... 48 "... 234 " "... 9, 84, 240 #define... 118, 213 #include... 148 %... 23 %... 23, 24 %%... 23 %d... 4 %f... 29 %ld... 177 %lf... 31 %lu... 177 %o... 196 %p... 262 %s... 242, 244 %u... 177

More information

Microsoft Word - 实用案例.doc

Microsoft Word - 实用案例.doc 计 算 机 系 统 应 用 2009 年 第 12 期 嵌 入 式 Linux 下 温 湿 度 传 感 器 的 设 计 与 实 现 1 Design and Implementation of Temperature and Humidity Sensor Based on Embedded Linux 陈 博 刘 锦 高 ( 华 东 师 范 大 学 电 子 科 学 技 术 系 上 海 200241)

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

普 陀 教 育 2014.6 ( 总 189) 第 三 届 普 陀 杯 专 栏 2013.11.11 2013.11.13 普 陀 区 教 育 学 院 说 课 主 要 从 课 堂 教 学 设 计 与 实 施 和 教 师 专 业 素 现 场 说 课 2013.11.14 养 两 方 面 进 行 评 价

普 陀 教 育 2014.6 ( 总 189) 第 三 届 普 陀 杯 专 栏 2013.11.11 2013.11.13 普 陀 区 教 育 学 院 说 课 主 要 从 课 堂 教 学 设 计 与 实 施 和 教 师 专 业 素 现 场 说 课 2013.11.14 养 两 方 面 进 行 评 价 普 陀 教 育 2014.6 ( 总 189) 第 三 届 普 陀 杯 专 栏 聚 焦 教 师 专 业 发 展 提 升 教 学 研 究 品 质 普 陀 杯 初 中 数 学 学 科 教 师 专 业 能 力 评 优 报 告 上 海 市 普 陀 区 教 育 学 院 徐 炜 蓉 陈 慧 珍 一 活 动 回 顾 根 据 第 三 届 普 陀 杯 教 师 专 业 能 力 评 优 活 动 方 案 的 精 神 和 第

More information

华恒家庭网关方案

华恒家庭网关方案 LINUX V1.5 1 2 1 2 LINUX WINDOWS PC VC LINUX WINDOWS LINUX 90% GUI LINUX C 3 REDHAT 9 LINUX PC TFTP/NFS http://www.hhcn.com/chinese/embedlinux-res.html minicom NFS mount C HHARM9-EDU 1 LINUX HHARM9-EDU

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

ebook14-4

ebook14-4 4 TINY LL(1) First F o l l o w t o p - d o w n 3 3. 3 backtracking parser predictive parser recursive-descent parsing L L ( 1 ) LL(1) parsing L L ( 1 ) L L ( 1 ) 1 L 2 L 1 L L ( k ) k L L ( 1 ) F i r s

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的

了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的 寻 访 名 城 前 诗 学 符 号 的 原 乡 要 要 叶 名 城 往 事 记 忆 之 旅 曳 总 序 海 男 呈 现 在 我 们 眼 前 的 这 套 叶 名 城 往 事 记 忆 之 旅 曳 丛 书 袁 从 一 开 始 就 打 开 了 时 间 地 图 和 历 史 相 遇 中 的 旅 行 线 路 遥 在 这 个 逐 渐 丧 失 记 忆 力 和 想 象 力 的 二 十 一 世 纪 袁 重 新 回 到 原

More information

壹、摘 要

壹、摘  要 彰 化 縣 102 年 度 國 民 中 小 學 學 生 獨 立 研 究 作 品 徵 選 作 品 說 明 書 作 品 編 號 : 組 別 : 國 小 高 年 級 組 ( 四 五 六 年 級 ) 國 中 組 數 學 類 自 然 與 生 活 科 技 類 人 文 社 會 類 作 品 名 稱 : 山 水 之 間 ~ 福 佬 客 ( 山 ) 在 閩 南 族 群 ( 水 ) 的 尋 根 第 一 階 段 研 究 訓

More information

员工签到录

员工签到录 Archivist 2002 Eletech Enterprise Co., Ltd. All Rights Reserved. 1-1 ELETECH VOICE SYSTEMS INC 2 / 2 VLR, 1-1-1 VP894AS-M11 1. VP894AS-M11 1 2. Y 4 3. RJII 4 4. 2-PIN 1 5. VLR 1 2 3 4 ELETECH VOICE SYSTEMS

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

???? Microsoft Office Word ????.docx

???? Microsoft Office Word ????.docx 目 录 数 算 不 尽 的 恩 典 (David, 红 菊, 直 富 ) 第 1 页 认 识 你 真 好 ( 孙 伟 伟 ).. 第 2 页 唯 有 你 是 真 实 ( 桑 胜 ).. 第 7 页 恩 典 之 路 ( 井 然 ).. 第 9 页 永 不 放 弃 的 爱 ( 张 贺 ).. 第 11 页 他 使 我 的 灵 魂 苏 醒 ( 刘 晓 萍 ).. 第 14 页 与 主 初 识 ( 聂 钰

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

目 錄 壹 基 本 資 料... 1 貳 課 程 執 行 成 果... 1 一 課 程 目 標 :... 1 二 服 務 進 行 機 構 :... 2 ( 一 ) 機 構 簡 介... 2 ( 二 ) 合 作 模 式... 3 ( 三 ) 服 務 進 行 方 式... 4 ( 四 ) 內 容...

目 錄 壹 基 本 資 料... 1 貳 課 程 執 行 成 果... 1 一 課 程 目 標 :... 1 二 服 務 進 行 機 構 :... 2 ( 一 ) 機 構 簡 介... 2 ( 二 ) 合 作 模 式... 3 ( 三 ) 服 務 進 行 方 式... 4 ( 四 ) 內 容... 國 立 彰 化 師 範 大 學 102 學 年 度 第 2 學 期 通 識 教 育 中 心 服 務 學 習 課 程 補 助 計 畫 成 果 報 告 書 體 驗 教 育 與 冒 險 治 療 課 程 授 課 老 師 : 林 杏 足 老 師 服 務 進 行 機 構 : 社 團 法 人 台 灣 海 星 少 年 關 懷 協 會 103/02/17~103/05/31 第 3 期 獎 勵 大 學 校 院 辦 理

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

INCF SEC1,F ; 將 SEC1+1 作查表取回對應數字 MOVF SEC1,W banksel STRING1 banksel PORTB RETFIE run_sec2: MOVF SEC2,W SUBLW.5 GOTO run_min ; 將秒個位數歸 0 CLRF SEC1 MOVL

INCF SEC1,F ; 將 SEC1+1 作查表取回對應數字 MOVF SEC1,W banksel STRING1 banksel PORTB RETFIE run_sec2: MOVF SEC2,W SUBLW.5 GOTO run_min ; 將秒個位數歸 0 CLRF SEC1 MOVL ;************************************************ ; Null Clock REV:1.0 by Jed ; www.xuan.idv.tw ;************************************************ INCLUDE P16F84A.INC LIST P=16F84A,R=Dec CONFIG _XT_OSC&_WDT_OFF

More information

untitled

untitled 2009 1 30 3 850 46 2 200861 5 2007 200862 12 2008194 14 2008195 20 2008196 21 2008197 22 2008116 25 2008269 28 1 2008 12 31 25 50% 1987 9 28 2 30 25 20 15 3 30 25 20 15 4 200861 2008 12 17 5 2009 2012

More information

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 档 案 局 2016 年 度 部 门 预 算 1 目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 年 度 市 级 部 门 财 政 拨 款 支 出 预

More information

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 2015 年 度 部 门 决 算 报 表 ( 含 三 公 经 费 决 算 ) 2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 上 级 补 助 收 入

More information

Cover-3.indd, page Normalize

Cover-3.indd, page Normalize 5 55 75 91 5 6 1 2 3 4 5 7 8 1 2 3 4 5 9 10 1 2 3 4 5 6 7 11 12 1 2 3 13 14 1 2 3 15 16 1 2 17 18 1 2 3 19 20 1 2 21 22 1 2 3 23 24 1 2 3 25 26 1 2 3 4 5 27 28 1 3 2 4 5 6 7 8 9 29 30 31 32 1 2 3 4 33

More information

人 間 菩 提 Part 1 人 間 菩 提 Part 2 清 涼 菩 提 正 覺 ------------------ 10 修 行 ------------------ 13 清 心 ------------------ 16 發 願 ------------------ 18 自 重 ----

人 間 菩 提 Part 1 人 間 菩 提 Part 2 清 涼 菩 提 正 覺 ------------------ 10 修 行 ------------------ 13 清 心 ------------------ 16 發 願 ------------------ 18 自 重 ---- 人 間 菩 提 Part 1 人 間 菩 提 Part 2 清 涼 菩 提 正 覺 ------------------ 10 修 行 ------------------ 13 清 心 ------------------ 16 發 願 ------------------ 18 自 重 ------------------- 20 習 氣 ------------------ 22 清 淨 心

More information

Part 1 2 3 4 5 6 7 Part 2 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 Part 3 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到 附 件 :.014 年 实 习 生 进 出 实 习 单 位 用 车 方 案 南 京 医 科 大 学 014 年 6 月 实 习 学 生 进 出 实 习 单 位 用 车 计 划 教 务 处 编 014 年 6 月 5 日 实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名

More information

简报158期.doc

简报158期.doc 党 员 干 部 现 代 远 程 教 育 简 报 第 15 期 ( 总 第 158 期 ) 中 共 山 东 省 委 农 村 党 员 干 部 现 代 远 程 教 育 领 导 协 调 小 组 办 公 室 2012 年 10 月 31 日 喜 迎 十 八 大 威 海 市 组 织 开 展 系 列 主 题 宣 传 活 动 迎 接 党 的 十 八 大 一 是 发 挥 党 建 电 视 栏 目 作 用 强 化 宣 传

More information

zt

zt !" !"!"!###!$ !!" #$ %& ( $( )% &# *%!($!#!!%%!"%! &!)%!&"!* #($!& # (!! ?!!""#!$ % # & %!"#$%&"" ()))*)))+ (,)-#*),+./,),),)0 12122222+ (3333333+ 4),),),)0 (,)5677,+ ()))89))+ :;;5 $ # ( )$ # ( ($

More information

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 年 教 学 工 作 安 排 2015 2016 学 年 第 二 学 期 教 学 工 作 计 划 二 O 一 六 年 三 月 十 日 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调

More information

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63>

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63> 一 九 八 八 年 创 刊 回 忆 与 研 究 第 十 六 辑 上 海 市 新 四 军 历 史 研 究 会 浙 东 浙 南 分 会 编 二 O 一 三 年 十 二 月 1 ( 封 面 折 页 字 ) 编 委 会 顾 问 丁 公 量 丁 柯 吴 文 达 汪 志 荣 戚 南 强 李 国 经 主 编 陈 晓 光 副 主 编 葛 奇 忠 方 思 朋 编 委 ( 按 姓 氏 笔 画 为 序 ) 方 思 朋 王

More information

萧山中学课程建设方案.doc

萧山中学课程建设方案.doc - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - 2 2 4 4 4 2 2 4 4 4 4 4 5 5 4 4 4 4 5 5 4 4 4 4 5 5 3 3 3 3 4(2) 4(2) 4(2) 4(2) 4 4 4 4 3 3 3 3 3 3 4 4 4 4 4(2) 4(2) 4(2) 4(2) 2 2 2 2 4 4 4 4 2 2 2 2 2

More information

( ) 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 121.2 117.5 125.8 122.0 130.9 126.8 135.4 131.5 140.3 136.0 144.9 140.2 153.5 147.9 160.6 154.7 165.1 160.3 167.7 163.9 169.3 165.8 169.6 166.7 170.0 167.8

More information

Microsoft Word - 9pinggb_A4.doc

Microsoft Word - 9pinggb_A4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 3 九 评 之 一 评 共 产 党 是 什 么... 4 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

Microsoft Word - 9pinggb_A4-f4.doc

Microsoft Word - 9pinggb_A4-f4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 4 九 评 之 一 评 共 产 党 是 什 么... 4 前 言...5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面 目 录 理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 1 信 息 交 流 省 编 办 刘 维 寅 副 主 任 到 我 市 对 市 县 政 府 机 构 改 革 工 作 进 行 实 地 评 估 11 我 市 部 分 部 门 试 点 实 行 部 门 内 部 行 政 审 批 制 度 改 革 工 作 13 我 市 三 项 措 施 确 保 机 构 编 制 监 督 活 动 常 态 化 14 基 层

More information

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现 前 言 日 本 是 我 们 的 邻 国 文 献 可 考 的 两 国 关 系, 至 少 已 有 21 个 世 纪 古 代 日 本 文 化 的 发 展 晚 于 中 国, 而 日 本 民 族 却 能 在 固 有 文 化 的 基 础 上 对 外 国 文 化 采 取 选 择 吸 收 和 创 新 的 态 度, 形 成 自 己 独 特 的 文 化 封 建 末 期, 中 国 和 日 本 同 受 西 方 列 强 的

More information

2深化教育教学改革、创新人才培养模式

2深化教育教学改革、创新人才培养模式 高 职 物 流 管 理 专 业 人 才 培 养 模 式 的 创 新 与 实 践 1 李 选 芒 2 赵 居 礼 摘 要 高 职 物 流 管 理 专 业 人 才 培 养 模 式 改 革 的 重 点 是 明 确 专 业 培 养 目 标, 构 建 适 应 培 养 目 标 的 课 程 体 系, 营 造 职 业 技 术 训 练 的 教 环 境, 建 设 双 师 结 构 的 师 资 队 伍 陕 西 工 业 职

More information

,,,,,,,,,,,,,, :,,,, 1 ?,,, :,,,?,,?, :,,,,,,,,,? :,, :,,?, :??, :,!,, 2 ,,,,,,,,,,,,,,,,,?,,,,,,,,,,,,,, :, 3 !?!?,!,!,, :,,,,,,,,,,,,,,,,,,,,,,,,,? :??,, 4 ,,,,,,, :?, :,,,,,,,,,,,,,, 5 ,,,,,,,,,,,,,,,,,,,,,,,,,?,??

More information