Timer



Similar documents
第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

农银人寿发[2013]102号-4 农银寰宇至尊高端医疗保险条款

(E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (

工 序 的 是 ( ) A. 卷 筒 切 筒 装 药 造 粒 B. 搬 运 造 粒 切 引 装 药 C. 造 粒 切 引 包 装 检 验 D. 切 引 包 装 检 验 运 输 7. 甲 公 司 将 其 实 施 工 项 目 发 包 给 乙 公 司, 乙 公 司 将 其 中 部 分 业 务 分 包 给

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (

Ctpu

目录

02所有分支机构的营业场所和电话.xls

行业

行业

USB解决方案.ppt

<4D F736F F D20C6C0BCB6B1A8B8E6B7E2C3E6A3A8C8F0B0B2B9FACDB6B8FAD7D9A3A9>

行业

行业

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

頁 次 :5-2 D 21. 關 於 定 型 化 契 約 之 敘 述, 何 者 是 錯 誤 的? (A) 通 常 由 企 業 經 營 者 單 方 預 先 擬 定 (B) 目 的 在 於 以 該 條 款 與 不 特 定 多 數 相 對 人 訂 約, 以 節 省 時 間 與 費 用 (C) 契 約 雙

行业

长 赵 金 勇 党 组 书 记 王 文 娟 金 华 市 市 长 暨 军 民 和 汉 诺 威 米 兰 展 览 ( 上 海 ) 有 限 公 司 总 经 理 符 禹 等 出 席 开 幕 式, 金 华 市 副 市 长 义 乌 市 市 长 盛 秋 平 主 持 开 幕 式 并 致 辞 专 业 展 会 呈 现 多

頁 次 :6-2 (B) 19. 主 要 是 處 理 案 主 非 理 性 的 思 考 過 程 屬 於 那 一 種 諮 商 理 論 的 派 別? (A) 行 為 理 論 (B) 認 知 行 為 理 論 (C) 現 實 治 療 (D) 心 理 分 析 (C) 20. 一 位 結 婚 數 年 的 太 太

1 TPIS TPIS 2 2

Microsoft Word - MSP430 Launchpad 指导书.docx

直接存储器访问 (Direct Memory Access, DMA)

Measurement Studio Expands Your Test and Measurement Programming Power

关 注 本 期 证 券 未 办 理 抵 押 权 转 让 变 更 登 记 本 期 证 券 发 起 机 构 转 让 信 托 财 产 时, 按 惯 例 并 未 办 理 抵 押 权 转 让 变 更 登 记, 而 由 委 托 人 在 有 管 辖 权 的 政 府 机 构 登 记 部 门 继 续 登 记 为 名

68369 (ppp quickstart guide)

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

. (B) (C) (D) (E). ( ) ( ) ( ) ( ) ( ) X Y (A) (B) (C) (D) (E) X Y X Y (A) (B) (C) (D) (E). (A) (B) (C) (D) (1) (2) (3). (A) (B) (C) (D) (E) (A) (B) (

但 洋 糖 最 终 乘 船 溯 江 而 上, 再 加 上 民 国 初 年 至 抗 战 前 夕 二 十 余 年 间, 四 川 接 连 不 断 遭 受 水 灾 旱 灾 地 震, 平 均 每 月 爆 发 两 次 军 阀 混 战, 乡 村 遭 受 极 大 破 坏,( 赵 泉 民,2007) 农 村 经 济

DaoCiDi2003TC ct-P293L02-R


untitled

/ / (FC 3)...

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

Book1

2 PIC PIC 1 / CPU PIC MCU PIC RC

数据采集编程指南 下篇 ni.com/china/daq

4NFmini.p65

版本说明书

Microsoft Word - 正文.doc

51 C 51 isp 10 C PCB C C C C KEIL

PROFIBUS3.doc

一 公 司 特 殊 问 题 问 题 1 关 于 公 司 的 业 务 及 持 续 经 营 能 力 2013 年 度 2014 年 度 2015 年 1-11 月, 公 司 的 营 业 收 入 分 别 为 1,630, 元 699, 元 73, 元 报 告 期 内,

PowerPoint Presentation

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

行业

15XSSC.FIT)

<4D F736F F D20A4A4A6A1C4D1ADB9A55BA475A4FEAFC5A7DEB34EA468A7DEAFE0C0CBA977B34EACECB4FAB8D5B0D1A6D2B8EAAEC62DB2C4A447B3A1A4C E646F63>

Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice

2. 禁 止 母 乳 代 用 品 之 促 銷 活 動, 以 及 不 得 以 贊 助 試 用 或 免 費 等 方 式, 取 得 奶 瓶 及 安 撫 奶 嘴 認 證 說 明 以 贊 助 試 用 或 免 費 等 方 式, 取 得 奶 瓶 及 安 撫 奶 嘴, 並 在 婦 產 科 門 診 兒 科 門 診 產

市 立 永 平 高 中 無 填 報 無 填 報 (02) 市 立 樹 林 高 中 已 填 報 已 填 報 (02) 市 立 明 德 高 中 已 填 報 (02) 市 立 秀 峰 高 中 已 填 報

<4D F736F F D20A1BE A1BF C4EABDADCBD5D7CFBDF0C5A9B4E5C9CCD2B5D2F8D0D0B9C9B7DDD3D0CFDEB9ABCBBEB8FAD7D9C6C0BCB6B1A8B8E6A3A8B8FAD7D A3A9>

Microsoft Word - 32

Agenda PXI PXI

1 CPU

P4i45GL_GV-R50-CN.p65

Microsoft Word - xiuxinduanyu-2-doc.doc

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 一 一 般 公 共 服 务 支 出 二

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016

bnb.PDF

STM32 for sensorless vector control

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

第4章 系统设置

. (A) (B) (C) A (D) (E). (A)(B)(C)(D)(E) A

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

ICD ICD ICD ICD ICD

untitled

Chapter 9: Objects and Classes

秘密大乘佛法(下)

國立臺東高級中學102學年度第一學期第二次期中考高一國文科試題

!! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :? Page 2

Page 2 of 12

Microsoft Word - Sunday

鎶ョ焊0

<D2B0D0C4D3C5D1C52DC8CED6BEC7BF202D20BCC7CAC2B1BE>


untitled

Microsoft PowerPoint - STU_EC_Ch07.ppt

untitled

里 再 说 吓 唬 了 孩 子, 肯 定 方 宁 不 忍 所 以 她 不 死 便 罢, 倘 若 死, 只 有 到 办 公 室 沈 若 鱼 冷 静 得 好 像 在 评 点 某 一 电 视 剧 中 的 女 主 角 你 说 她 是 怎 么 死 的? 先 生 又 感 惊 骇 吃 安 眠 药 沈 若 鱼 成

我眼中的好老师

epub83-1

untitled

程式人雜誌

PIC16F F MPLAB 08 16F LED 15 LED

(Microsoft Word - \262\30440\266g-\253\312\255\261.doc)

bingdian001.com

石 家 庄 石 家 庄 恒 翼 电 子 有 限 公 司 河 北 省 石 家 庄 市 民 族 路 69 号 颐 高 数 码 广 场 三 楼 3109 室 石 家 庄 石 家 庄 三 合 办 公 设 备 有 限 公 司 河 北 省 石 家 庄 中 山 东 路 126 号 (

P4VM800_BIOS_CN.p65

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷

人 物 春 秋 杨 永 泰 将 其 削 藩 策 略 概 括 为 : 以 经 济 方 法 瓦 解 冯 玉 祥 的 第 二 集 团 军, 以 政 治 方 法 解 决 阎 锡 山 的 第 3 集 团 军, 以 军 事 方 法 解 决 李 宗 仁 的 第 四 集 团 军, 以 外 交 方 法 对 付 张 学

台北老爺校外實地參訪結案報告




Microsoft Word 養生與保健_中山大學_講義


萬里社區老人健康照護手冊

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法 doc

Transcription:

PSoC Creator 组 件 数 据 手 册 定 时 器 2.50 特 性 支 持 PSoC 3 和 PSoC5 LP 中 的 固 定 功 能 (FF - Fixed Function) 实 现 8 16 24 或 32 位 定 时 器 可 选 捕 获 输 入 使 能 触 发 和 复 位 输 入, 用 于 与 其 他 组 件 同 步 连 续 或 单 次 触 发 模 式 概 述 定 时 器 组 件 可 以 提 供 一 种 方 法 用 于 测 量 时 间 间 隔 它 不 但 可 以 实 现 基 本 的 定 时 器 功 能, 而 且 还 可 以 提 供 捕 获 多 中 断 触 发,DMA 触 发 等 高 级 功 能 对 于 PSoC 3 和 PSoC5 LP 器 件, 组 件 可 以 使 用 FF 模 块 或 UDB 实 现 而 PSoC 4 器 件 仅 支 持 UDB 实 现 与 FF 实 现 相 比,UDB 实 现 通 常 拥 有 更 多 特 性 如 果 设 计 足 够 简 单, 可 考 虑 使 用 FF 定 时 器, 以 节 省 UDB 资 源 用 于 其 它 用 途 下 表 显 示 了 FF 定 时 器 与 UDB 定 时 器 之 间 的 主 要 特 性 差 异 FF 定 时 器 与 UDB 定 时 器 之 间 还 有 很 多 功 能 差 异, 不 同 设 备 中 的 FF 定 时 器 功 能 也 不 相 同 有 关 两 者 的 详 细 差 异, 请 参 阅 配 置 一 节 的 时 序 波 形 特 性 Fixed Function UDB 位 数 8 或 16 8 16 24 或 32 运 行 模 式 连 续 或 单 次 触 发 连 续 单 次 触 发 或 中 断 停 止 单 次 触 发 计 数 模 式 只 进 行 递 减 计 数 只 进 行 递 减 计 数 使 能 输 入 是 ( 硬 件 或 软 件 使 能 ) 是 ( 硬 件 或 软 件 使 能 ) 捕 获 输 入 是 是 捕 获 模 式 仅 上 升 沿 上 升 沿 下 降 沿 任 一 边 沿 或 软 件 控 制 捕 获 FIFO 否 ( 一 个 捕 获 寄 存 器 ) 是 ( 最 多 可 捕 获 四 次 ) 赛 普 拉 斯 半 导 体 公 司 198 Champion Court San Jose,CA 95134-1709 408-943-2600 文 档 编 号 :001-87205 修 订 版 *A 修 订 时 间 October 22, 2013

定 时 器 PSoC Creator 组 件 数 据 手 册 特 性 Fixed Function UDB 触 发 输 入 否 是 触 发 模 式 上 升 沿 下 降 沿 任 一 边 沿 或 软 件 控 制 复 位 输 入 是 是 终 端 计 数 输 出 是 是 中 断 输 出 是 ( 仅 PSoC 3) 是 中 断 条 件 TC 捕 获 TC 捕 获 和 FIFO 满 位 捕 获 输 出 否 是 周 期 寄 存 器 是 是 周 期 重 新 加 载 是 ( 始 终 在 复 位 或 TC 时 重 新 加 载 ) 是 ( 始 终 在 复 位 或 TC 时 重 新 加 载 ) 时 钟 输 入 仅 限 于 时 钟 系 统 中 的 数 字 时 钟 任 何 信 号 何 时 使 用 定 时 器 定 时 器 的 默 认 用 途 是 产 生 周 期 性 事 件 或 中 断 信 号 但 是, 还 有 其 它 潜 在 用 途 : 通 过 将 时 钟 连 接 到 时 钟 输 入, 并 使 用 终 端 计 数 输 出 作 为 分 频 的 时 钟 输 出, 可 以 创 建 时 钟 分 频 器 通 过 将 时 钟 连 接 到 时 钟 输 入, 并 将 测 试 信 号 发 送 到 使 能 或 捕 获 输 入, 可 以 测 量 各 硬 件 事 件 之 间 的 时 长 注 意 : 计 数 器 组 件 适 合 用 于 有 关 计 数 事 件 的 情 况 而 PWM 组 件 则 适 合 用 于 需 要 多 个 比 较 输 出, 并 要 求 中 心 对 齐 输 出 非 同 步 停 止 输 入 和 死 区 输 出 等 多 个 控 制 功 能 的 情 况 定 时 器 通 常 用 于 记 录 各 事 件 间 时 钟 周 期 的 数 量 例 如, 测 量 转 速 计 传 感 器 生 成 的 两 个 上 升 沿 之 间 的 时 钟 计 数 更 复 杂 的 用 途 是 测 量 PWM 输 入 的 周 期 和 占 空 比 对 于 PWM 测 量, 定 时 器 组 件 设 置 为 在 上 升 沿 时 进 行 启 动, 在 下 一 个 下 降 沿 时 进 行 捕 获, 并 在 下 一 个 上 升 沿 时 进 行 捕 获 和 停 止 最 终 捕 获 后 进 行 中 断, 向 CPU 发 出 表 示 FIFO 中 所 有 捕 获 的 值 都 已 就 绪 的 信 号 页 2/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 输 入 / 输 出 接 口 本 章 节 介 绍 的 是 定 时 器 上 各 种 输 入 和 输 出 连 接 某 些 I/O 符 号 在 其 描 述 中 所 列 的 特 定 条 件 下 可 能 不 显 示 注 意 : 除 非 另 有 说 明, 否 则 所 有 信 号 都 是 高 电 平 有 效 输 入 是 否 隐 藏 说 明 clock 否 时 钟 输 入 定 义 了 定 时 器 组 件 的 工 作 频 率 即 当 使 能 了 定 时 器 组 件 时, 定 时 器 周 期 计 数 器 值 在 此 输 入 的 上 升 沿 被 递 减 reset 否 该 输 入 为 同 步 复 位 它 需 要 至 少 一 个 时 钟 的 上 升 沿 来 完 成 计 数 器 和 捕 获 计 数 器 的 复 位 它 将 周 期 计 数 器 复 位 为 周 期 值, 并 且 复 位 捕 获 计 数 器 对 于 FF 定 时 器, 复 位 信 号 强 制 计 数 器 仅 在 活 动 模 式 从 周 期 寄 存 器 加 载 即 是 否 已 通 过 调 用 Timer_Start() 函 数 启 用 定 时 器 使 能 是 此 输 入 为 定 时 器 硬 件 使 能 此 连 接 使 周 期 计 数 器 能 够 在 时 钟 的 每 个 上 升 沿 上 被 递 减 如 果 此 输 入 处 于 低 电 平, 输 出 仍 会 处 于 活 动 状 态, 但 定 时 器 组 件 不 会 改 变 状 态 当 Enable Mode( 使 能 模 式 ) 参 数 设 置 为 Hardware Only( 仅 硬 件 ) 或 Software and Hardware( 软 件 和 硬 件 ) 时, 此 输 入 可 见 捕 获 是 捕 获 输 入 将 当 前 计 数 值 捕 获 到 捕 获 寄 存 器 或 FIFO 中 如 果 Capture Mode( 捕 获 模 式 ) 参 数 设 置 为 None( ) 之 外 的 任 何 其 它 模 式, 此 输 入 可 见 捕 获 可 能 发 生 在 上 升 沿 下 降 沿, 或 应 用 于 该 输 入 的 任 一 沿 上, 这 取 决 于 捕 获 模 式 的 设 置 在 时 钟 输 入 上 对 捕 获 输 入 进 行 采 样 如 果 定 时 器 已 被 禁 用, 则 不 会 捕 获 任 何 值 捕 获 输 入 可 以 悬 空, 即 没 有 任 何 外 部 连 接 如 果 捕 获 输 入 任 何 连 接, 则 此 组 件 将 为 其 分 配 常 数 逻 辑 0 触 发 是 触 发 输 入 允 许 定 时 器 根 据 可 配 置 的 硬 件 事 件 开 始 计 数 如 果 将 Trigger Mode( 触 发 模 式 ) 参 数 设 置 为 非 None( ), 则 该 输 入 可 见 它 会 使 定 时 器 延 迟 计 数, 直 至 检 测 到 合 适 的 边 沿 为 止 触 发 边 沿 既 不 产 生 捕 获, 也 不 会 生 成 中 断 输 出 是 否 隐 藏 说 明 tc 否 终 端 计 数 是 同 步 输 出, 用 于 表 示 计 数 值 等 于 零 此 输 出 与 定 时 器 的 时 钟 输 入 同 步 此 输 出 的 定 时 精 度 取 决 于 器 件 以 及 使 用 的 是 UDB 还 是 FF 定 时 器 文 档 编 号 :001-87205 修 订 版 *A 页 3/36

定 时 器 PSoC Creator 组 件 数 据 手 册 输 出 是 否 隐 藏 说 明 interrupt 否 此 中 断 输 出 由 硬 件 中 所 配 置 的 中 断 源 驱 动 对 所 有 源 进 行 或 运 算 以 创 建 最 终 输 出 信 号 中 断 源 可 以 是 : 终 端 计 数 捕 获 或 FIFO 已 满 触 发 中 断 后, 会 持 续 设 置 中 断 输 出, 直 至 读 取 状 态 寄 存 器 为 止 PSoC 5LP 上 的 FF 定 时 器 支 持 中 断, 但 中 断 信 号 不 能 引 出, 即 中 断 接 口 不 能 连 接 其 他 模 块, 包 括 中 断 模 块 如 果 需 要 此 功 能, 可 将 中 断 组 件 连 接 到 tc 信 号, 或 使 用 UDB 定 时 器 capture_out 是 capture_out 是 一 项 指 示 输 出, 用 于 指 示 硬 件 捕 获 已 触 发 此 信 号 仅 适 用 于 UDB 定 时 器 此 输 出 与 定 时 器 的 时 钟 输 入 同 步 原 理 图 宏 信 息 组 件 目 录 中 的 定 时 器 是 使 用 带 默 认 设 置 的 定 时 器 组 件 的 原 理 图 宏 它 连 接 到 总 线 时 钟 和 逻 辑 低 电 平 组 件 组 件 参 数 将 定 时 器 拖 入 设 计 中, 双 击 定 时 器 以 打 开 Configure( 配 置 ) 对 话 框 硬 件 与 软 件 配 置 选 项 硬 件 配 置 选 项 用 于 更 改 项 目 合 成 和 放 置 在 硬 件 中 的 方 式 如 果 您 对 任 何 这 些 选 项 进 行 了 更 改, 则 必 须 重 新 编 译 硬 件 软 件 配 置 选 项 不 影 响 合 成 或 放 置 如 果 在 构 建 之 前 设 置 这 些 参 数, 则 需 要 设 置 其 初 始 值 可 随 时 使 用 所 提 供 的 API 修 改 这 些 初 始 值 下 面 章 节 中 描 述 的 大 多 数 参 数 是 硬 件 选 项 的 软 件 选 项 也 将 一 同 说 明 页 4/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 Configure 选 项 卡 分 辨 率 Resolution( 分 辨 率 ) 参 数 用 于 定 义 定 时 器 的 位 宽 可 根 据 最 大 计 数 值 255 65535 16777215 和 4294967295, 分 别 将 此 值 设 置 为 8 16 24 或 32 而 对 于 FF 定 时 器, 分 辨 率 仅 限 于 8 或 16 位 实 现 Implementation( 实 现 ) 参 数 允 许 您 选 择 计 数 器 的 固 定 功 能 模 块 实 现 或 UDB 实 现 如 果 选 择 了 FF,UDB 函 数 将 被 禁 用 周 期 ( 软 件 选 项 ) Period( 周 期 ) 参 数 用 于 定 义 计 数 器 的 周 期 定 时 器 组 件 的 最 大 计 数 值 ( 或 翻 转 点 ) 等 于 Period 减 去 1 Period 减 去 1 是 加 载 到 周 期 寄 存 器 中 的 初 始 值 软 件 可 随 时 使 用 Timer_WritePeriod() API 更 改 周 期 寄 存 器 要 使 用 此 API 获 取 等 同 的 结 果, 必 须 将 定 制 器 中 的 周 期 值 减 去 1 用 作 为 此 函 数 中 的 参 数 该 值 的 限 制 由 Resolution( 分 辨 率 ) 参 数 定 义 对 于 8 16 24 和 32 位 Resolution 参 数, Period 值 分 别 为 :2^8 2^16 2^24 和 2^32, 或 256 65536 16777216 和 4294967296 文 档 编 号 :001-87205 修 订 版 *A 页 5/36

定 时 器 PSoC Creator 组 件 数 据 手 册 触 发 模 式 ( 软 件 选 项 ) Trigger Mode( 触 发 模 式 ) 参 数 用 于 配 置 触 发 输 入 的 实 现 只 有 将 Implementation( 实 现 ) 设 置 为 UDB 时, 此 参 数 才 有 效 可 将 Trigger Mode( 触 发 模 式 ) 设 置 为 以 下 任 一 值 : None( )( 默 认 值 ) 不 执 行 任 何 触 发, 且 触 发 输 入 引 脚 处 于 隐 藏 状 态 Rising Edge( 上 升 沿 ) 在 触 发 输 入 的 第 一 个 上 升 沿 上 触 发 ( 启 用 ) 计 数 Falling Edge( 下 降 沿 ) 在 触 发 输 入 的 第 一 个 下 降 沿 上 触 发 ( 启 用 ) 计 数 Either Edge( 任 一 沿 ) 在 触 发 输 入 的 第 一 个 沿 ( 上 升 或 下 降 沿 ) 上 触 发 ( 启 用 ) 计 数 Software Controlled( 软 件 控 制 ) 运 行 时, 可 通 过 调 用 Timer_SetTriggerMode() API 将 触 发 模 式 设 置 为 上 述 四 种 触 发 模 式 之 一 默 认 触 发 为 None( ), 直 至 使 用 此 API 设 置 其 它 值 捕 获 模 式 ( 软 件 选 项 ) 捕 获 模 式 部 分 包 含 三 个 Capture Mode Value( 捕 获 模 式 值 ) Enable Capture Counter ( 使 能 捕 获 计 数 器 ) 和 Capture Count( 捕 获 计 数 ) 捕 获 模 式 Capture Mode( 捕 获 模 式 ) 参 数 用 于 配 置 发 生 捕 获 的 时 间 在 时 钟 输 入 的 上 升 沿 上 对 捕 获 输 入 进 行 采 样 此 模 式 可 设 置 为 以 下 任 一 值 ( 对 于 固 定 功 能 实 现, 仅 None 和 Rising Edge 可 用 ): None 不 会 实 现 任 何 捕 获, 且 捕 获 输 入 引 脚 处 于 隐 藏 状 态 Rising Edge( 上 升 沿 ) 根 据 时 钟 输 入, 在 捕 获 输 入 的 上 升 沿 上 捕 获 计 数 器 值 Falling Edge( 下 降 沿 ) 根 据 时 钟 输 入, 在 捕 获 输 入 的 下 降 沿 上 捕 获 计 数 器 值 Either Edge( 任 一 沿 ) 根 据 时 钟 输 入, 在 捕 获 输 入 的 任 一 沿 上 捕 获 计 数 器 值 Software Controlled 运 行 时, 可 通 过 调 用 Timer_SetCaptureMode() API 将 捕 获 模 式 设 置 为 上 述 四 种 捕 获 模 式 之 一 默 认 触 发 为 None( ), 直 至 使 用 此 API 设 置 其 它 值 为 止 使 能 捕 获 计 数 器 ( 软 件 选 项 ) Enable Capture Counter( 使 能 捕 获 计 数 器 ) 参 数 用 于 定 义 在 实 际 捕 获 计 数 器 前 需 要 发 生 多 少 个 捕 获 事 件 例 如, 如 果 需 要 每 隔 三 个 事 件 捕 获 一 次, 那 么 捕 获 计 数 器 值 必 须 设 置 为 3 此 参 数 仅 适 用 于 UDB 定 时 器 页 6/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 捕 获 计 数 ( 软 件 选 项 ) Capture Count( 捕 获 计 数 ) 参 数 用 于 设 置 在 实 际 捕 获 计 数 器 前 发 生 的 捕 获 事 件 的 初 始 数 量 它 可 设 置 为 介 于 2 到 127 之 间 值 运 行 时, 可 通 过 调 用 API 函 数 Timer_SetCaptureCount() 修 改 捕 捉 计 数 值 此 参 数 仅 适 用 于 UDB 定 时 器 使 能 模 式 Enable Mode ( 使 能 模 式 ) 参 数 用 于 配 置 定 时 器 的 使 能 条 件 在 时 钟 输 入 的 上 升 沿 上 对 使 能 输 入 进 行 采 样 可 将 该 模 式 设 置 为 以 下 任 何 值 : Software Only( 仅 软 件 ) 仅 根 据 控 制 寄 存 器 的 使 能 位 启 用 定 时 器 Hardware Only( 仅 硬 件 ) 仅 根 据 使 能 输 入 启 用 定 时 器 ( 仅 UDB) Hardware and Software( 硬 件 和 软 件 ) 仅 当 硬 件 和 软 件 使 能 都 为 true 时, 才 会 使 能 计 数 器 运 行 模 式 Run Mode( 运 行 模 式 ) 参 数 用 于 将 定 时 器 组 件 配 置 为 连 续 运 行 模 式 或 单 次 触 发 模 式 : Continuous( 连 续 ) 定 时 器 在 启 用 后 连 续 运 行 One Shot( 单 次 触 发 ) 定 时 器 开 始 计 数 并 在 到 达 零 时 停 止 计 数 复 位 后, 它 将 开 始 另 一 个 计 数 周 期 停 止 后, 对 于 UDB 定 时 器, 它 会 将 Period 重 新 加 载 到 计 数 寄 存 器 内 ; 对 于 FF 定 时 器, 计 数 寄 存 器 中 的 终 端 计 数 保 持 不 变 One Shot (Halt on Interrupt) ( 单 次 触 发 ( 中 断 停 止 )) 定 时 器 开 始 计 数 并 在 到 达 零 时 或 发 生 中 断 时 停 止 计 数 复 位 后, 它 将 开 始 另 一 个 计 数 周 期 停 止 后, 对 于 UDB 定 时 器, 它 会 将 Period 重 新 加 载 到 计 数 寄 存 器 中 ; 对 于 FF 定 时 器, 计 数 寄 存 器 中 的 终 端 计 数 保 持 不 变 注 意 : 为 了 确 保 单 次 触 发 不 会 过 早 的 让 定 时 器 计 数, 推 荐 使 用 触 发 模 式 控 制 开 始 时 间, 或 使 用 某 种 形 式 的 软 件 使 能 模 式 (Software Only 或 Software and Hardware) 中 断 ( 软 件 选 项 ) Interrupt( 中 断 ) 参 数 用 于 配 置 初 始 中 断 源 当 发 生 以 下 所 选 的 一 个 或 多 个 事 件 时, 会 生 成 中 断 软 件 可 随 时 重 新 配 置 此 模 式 ; 此 参 数 用 于 定 义 初 始 配 置 On TC(TC 时 ) 此 参 数 始 终 有 效 ; 默 认 情 况 下, 它 被 清 除 On Capture (1-4) ( 捕 获 时 (1-4)) 可 在 给 定 的 捕 获 次 数 后 生 成 中 断 ; 默 认 情 况 下, 它 被 清 除 On FIFO Full(FIFO 已 满 时 ) 可 在 捕 获 FIFO 已 满 后 生 成 中 断 ; 默 认 情 况 下, 它 被 清 除 文 档 编 号 :001-87205 修 订 版 *A 页 7/36

定 时 器 PSoC Creator 组 件 数 据 手 册 时 钟 选 择 固 定 功 能 组 件 当 被 配 置 为 使 用 设 备 中 的 FF 模 块 时, 定 时 组 件 具 有 以 下 限 制 : 时 钟 输 入 必 须 是 时 钟 系 统 中 的 数 字 时 钟 如 果 此 时 钟 的 频 率 要 与 总 线 时 钟 的 频 率 相 同, 则 此 时 钟 必 须 是 总 线 时 钟 打 开 相 应 时 钟 组 件 的 Configure 对 话 框, 然 后 将 Clock Type( 时 钟 类 型 ) 参 数 配 置 为 Existing( 现 有 ), 并 将 Source( 源 ) 参 数 配 置 为 BUS_CLK 该 频 率 下 的 时 钟 不 能 是 从 主 时 钟 IMO 等 任 何 其 它 源 分 出 来 的 对 于 基 于 UDB 的 组 件 对 于 PSoC3/5, 任 何 来 源 的 任 何 数 字 信 号 都 可 连 接 到 时 钟 输 入, 但 在 PSoC4 中 只 有 时 钟 组 件 可 以 为 计 数 器 提 供 时 钟 输 入 该 信 号 的 频 率 限 于 本 数 据 手 册 直 流 电 和 交 流 电 电 气 特 性 (UDB 实 现 ) 一 节 中 定 义 的 频 率 范 围 应 用 编 程 接 口 通 过 应 用 编 程 接 口 (API) 子 程 序, 您 可 以 使 用 软 件 对 组 件 进 行 配 置 下 面 的 表 格 列 出 并 说 明 了 每 个 函 数 的 接 口 以 下 各 节 将 更 详 细 地 介 绍 每 个 函 数 默 认 情 况 下,PSoC Creator 将 实 例 名 称 Timer_1 分 配 给 指 定 设 计 中 组 件 的 第 一 个 实 例 您 可 以 将 其 重 命 名 为 遵 循 标 识 符 语 法 规 则 的 任 何 唯 一 值 实 例 名 称 会 成 为 每 个 全 局 函 数 名 称 变 量 和 符 号 常 量 的 前 缀 出 于 可 读 性 考 虑, 下 表 中 使 用 的 实 例 名 称 为 Timer 函 数 说 明 Timer_Start() 设 置 initvar 变 量, 调 用 Timer_Init() 函 数, 然 后 调 用 Enable 函 数 Timer_Stop() 禁 用 定 时 器 Timer_SetInterruptMode() 启 用 或 禁 用 中 断 输 出 源 Timer_ReadStatusRegister() 返 回 状 态 寄 存 器 的 当 前 状 态 Timer_ReadControlRegister() 返 回 控 制 寄 存 器 的 当 前 状 态 Timer_WriteControlRegister() 设 置 控 制 寄 存 器 的 位 域 Timer_WriteCounter() 将 新 值 直 接 写 入 到 计 数 器 寄 存 器 中 ( 仅 UDB) Timer_ReadCounter() 强 制 进 行 捕 获, 然 后 返 回 捕 获 值 Timer_WritePeriod() 写 入 周 期 寄 存 器 页 8/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 函 数 说 明 Timer_ReadPeriod() 读 取 周 期 寄 存 器 Timer_ReadCapture() 返 回 捕 获 寄 存 器 的 内 容 或 FIFO 的 输 出 Timer_SetCaptureMode() 设 置 发 生 捕 获 的 硬 件 或 软 件 条 件 Timer_SetCaptureCount() 设 置 在 将 计 数 寄 存 器 捕 获 到 FIFO 之 前 要 计 数 的 捕 获 事 件 数 量 Timer_ReadCaptureCount() 报 告 当 前 捕 获 事 件 的 数 量 Timer_SoftwareCapture() 强 制 将 计 数 器 捕 获 到 捕 获 FIFO Timer_SetTriggerMode() 设 置 发 生 触 发 事 件 的 硬 件 或 软 件 条 件 Timer_EnableTrigger() 使 能 定 时 器 的 触 发 模 式 Timer_DisableTrigger() 禁 用 定 时 器 的 触 发 模 式 Timer_SetInterruptCount() 设 置 在 触 发 中 断 之 前 要 计 数 的 捕 获 数 量 Timer_ClearFIFO() 清 除 捕 获 FIFO Timer_Sleep() 停 止 定 时 器 并 保 存 其 当 前 配 置 Timer_Wakeup() 恢 复 定 时 器 配 置 并 重 新 使 能 定 时 器 Timer_Init() 根 据 Configure( 配 置 ) 对 话 框 的 设 置 来 初 始 化 或 恢 复 定 时 器 Timer_Enable() 使 能 定 时 器 Timer_SaveConfig() 保 存 定 时 器 的 当 前 配 置 Timer_RestoreConfig() 恢 复 定 时 器 的 配 置 全 局 变 量 变 量 说 明 Timer_initVar 指 示 定 时 器 是 否 已 初 始 化 变 量 将 初 始 化 为 0, 并 在 第 一 次 调 用 Timer_Start() 时 设 置 为 1 这 样, 第 一 次 调 用 Timer_Start() 子 程 序 后, 组 件 不 用 重 新 初 始 化 即 可 重 启 如 果 需 要 重 新 初 始 化 此 组 件, 则 可 在 Timer_Start() 或 Timer_Enable() 函 数 之 前 调 用 Timer_Init() 函 数 文 档 编 号 :001-87205 修 订 版 *A 页 9/36

定 时 器 PSoC Creator 组 件 数 据 手 册 void Timer_Start(void) 这 是 开 始 执 行 组 件 操 作 的 首 选 方 法 Timer_Start() 设 置 initvar 变 量, 调 用 Timer_Init() 函 数, 然 后 调 用 Timer_Enable() 函 数 如 果 已 设 置 initvar 变 量, 则 该 函 数 仅 调 用 Timer_Enable() 函 数 void Timer_Stop(void) 对 于 FF 定 时 器, 它 将 禁 用 定 时 器 并 关 闭 其 电 源 对 于 UDB 定 时 器, 定 时 器 仅 在 软 件 使 能 模 式 中 被 禁 用 由 于 固 定 功 能 的 定 时 器 的 电 源 被 此 函 数 关 闭,TC 输 出 将 被 驱 动 至 低 电 平 void Timer_SetInterruptMode(uint8 interruptmode) 启 用 或 禁 用 中 断 输 出 源 uint8: 中 断 源 有 关 位 定 义, 请 参 阅 本 数 据 手 册 的 模 式 寄 存 器 一 节 FF 和 UDB 的 位 位 置 不 同 掩 码 #defines 用 于 封 装 这 种 差 异 uint8 Timer_ReadStatusRegister(void) 返 回 状 态 寄 存 器 的 当 前 状 态 uint8: 状 态 寄 存 器 的 当 前 值 有 关 位 定 义, 请 参 阅 本 数 据 手 册 的 状 态 寄 存 器 一 节 读 取 状 态 寄 存 器 时, 将 清 除 这 些 位 中 的 一 部 分 本 数 据 手 册 的 状 态 寄 存 器 一 节 中 定 义 了 读 取 时 清 除 位 页 10/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 uint8 Timer_ReadControlRegister(void) 返 回 控 制 寄 存 器 的 当 前 状 态 在 不 需 要 控 制 寄 存 器 的 特 殊 情 况 中, 此 API 不 可 用 ( 特 殊 情 况 分 别 为 UDB 定 时 器, 使 能 模 式 为 仅 硬 件, 捕 获 模 式 非 软 件 控 制 以 及 触 发 模 式 非 软 件 控 制 ) uint8: 控 制 寄 存 器 位 域 有 关 位 的 定 义, 请 参 阅 本 数 据 手 册 中 的 控 制 寄 存 器 一 节 void Timer_WriteControlRegister(uint8 control) 设 置 控 制 寄 存 器 的 位 域 在 不 需 要 控 制 寄 存 器 的 特 殊 情 况 中, 此 API 不 可 用 ( 特 殊 情 况 分 别 为 UDB 定 时 器, 使 能 模 式 为 仅 硬 件, 捕 获 模 式 非 软 件 控 制 以 及 触 发 模 式 非 软 件 控 制 ) uint8: 控 制 寄 存 器 位 域 有 关 位 的 定 义, 请 参 阅 本 数 据 手 册 的 控 制 寄 存 器 一 节 void Timer_WriteCounter(uint8/16/32 counter) 将 新 值 直 接 写 入 到 计 数 器 寄 存 器 中 此 函 数 仅 适 用 于 UDB 定 时 器 uint8/16/32: 新 的 计 数 器 值 对 于 24 位 定 时 器, 该 参 数 为 uint32 覆 盖 计 数 器 值 这 可 能 会 导 致 周 期 宽 度 被 更 改 以 及 TC 输 出 状 态 不 确 定 等 问 题 这 不 是 原 子 写 操 作, 且 该 函 数 可 能 被 中 断 调 用 此 函 数 前 需 要 先 禁 用 定 时 器 uint8/16/32 Timer_ReadCounter(void) 强 制 进 行 捕 获, 然 后 返 回 捕 获 值 uint8/16/32: 当 前 计 数 器 值 对 于 24 位 定 时 器, 返 回 类 型 为 uint32 返 回 捕 获 寄 存 器 的 内 容 或 FIFO 的 输 出 ( 仅 适 用 于 UDB) 文 档 编 号 :001-87205 修 订 版 *A 页 11/36

定 时 器 PSoC Creator 组 件 数 据 手 册 void Timer_WritePeriod(uint8/16/32 period) 写 入 周 期 寄 存 器 uint8/16/32: 新 的 周 期 值 对 于 24 位 定 时 器, 该 参 数 为 uint32 定 时 器 周 期 保 持 不 变, 直 至 从 周 期 寄 存 器 重 载 计 数 器 为 止 uint8/16/32 Timer_ReadPeriod(void) 读 取 周 期 寄 存 器 uint8/16/32: 当 前 的 周 期 值 对 于 24 位 定 时 器, 返 回 类 型 为 uint32 uint8/16/32 Timer_ReadCapture(void) 返 回 捕 获 寄 存 器 的 内 容 或 FIFO 的 输 出 (UDB) uint8/16/32: 当 前 捕 获 值 对 于 24 位 定 时 器, 返 回 类 型 为 uint32 在 UDB 定 时 器 中, 将 从 FIFO 中 移 除 此 值 void Timer_SetCaptureMode(uint8 capturemode) 设 置 捕 获 模 式 此 函 数 仅 用 于 UDB 定 时 器, 并 且 仅 在 Capture Mode( 捕 获 模 式 ) 参 数 被 设 为 Software Controlled( 软 件 控 制 ) 时 才 可 用 uint8: 所 列 举 的 捕 获 模 式 此 外, 请 参 阅 控 制 寄 存 器 一 节 : Timer B_TIMER CM_NONE Timer B_TIMER CM_RISINGEDGE Timer B_TIMER CM_FALLINGEDGE Timer B_TIMER CM_EITHEREDGE Timer B_TIMER CM_SOFTWARE 页 12/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 void Timer_SetCaptureCount(uint8 capturecount) 设 置 在 执 行 某 个 捕 获 前 要 计 数 的 捕 获 事 件 数 量 此 函 数 仅 适 用 于 UDB 定 时 器, 并 且 仅 在 Configure( 配 置 ) 对 话 框 中 选 定 了 Enable Capture Counter( 启 用 捕 获 计 数 器 ) 参 数 时 才 可 用 uint8 capturecount: 在 将 计 数 器 值 捕 获 至 捕 获 FIFO 之 前 要 计 数 的 捕 获 事 件 数 量 有 效 范 围 值 为 2 到 127 uint8 Timer_ReadCaptureCount(void) 读 取 Timer_SetCaptureCount() 函 数 中 设 置 的 capturecount 参 数 的 当 前 值 此 函 数 仅 适 用 于 UDB 定 时 器, 并 且 仅 在 Configure( 配 置 ) 对 话 框 中 选 定 了 Enable Capture Counter( 启 用 捕 获 计 数 器 ) 参 数 时 才 可 用 uint8: 当 前 捕 获 计 数 void Timer_SoftwareCapture(void) 强 制 将 当 前 计 数 器 值 的 软 件 捕 获 至 FIFO 此 函 数 仅 可 用 于 UDB 定 时 器 void Timer_SetTriggerMode(uint8 triggermode) 设 置 触 发 模 式 此 函 数 仅 适 用 于 UDB 定 时 器, 并 且 仅 在 Trigger Mode( 触 发 模 式 ) 参 数 设 为 Software Controlled( 软 件 控 制 ) 时 才 可 用 uint8: 所 列 举 的 捕 获 模 式 此 外, 请 参 阅 控 制 寄 存 器 一 节 Timer B_TIMER TM_NONE Timer B_TIMER TM_RISINGEDGE Timer B_TIMER TM_FALLINGEDGE Timer B_TIMER TM_EITHEREDGE Timer B_TIMER TM_SOFTWARE 文 档 编 号 :001-87205 修 订 版 *A 页 13/36

定 时 器 PSoC Creator 组 件 数 据 手 册 void Timer_EnableTrigger(void) 启 用 触 发 此 函 数 仅 在 Trigger Mode( 触 发 模 式 ) 设 为 Software Controlled( 软 件 控 制 ) 时 才 可 用 void Timer_DisableTrigger(void) 禁 用 触 发 此 函 数 仅 在 Trigger Mode( 触 发 模 式 ) 被 设 为 Software Controlled( 软 件 控 制 ) 时 才 可 用 void Timer_SetInterruptCount(uint8 interruptcount) 设 置 在 为 InterruptOnCapture 源 生 成 中 断 之 前 要 计 数 的 捕 获 的 数 量 此 函 数 仅 在 使 能 了 InterruptOnCaptureCount 时 可 用 uint8 interruptcount: 生 成 捕 获 中 断 之 前 要 计 数 的 捕 获 事 件 的 数 量 有 效 范 围 值 为 0 到 3 void Timer_ClearFIFO(void) 清 除 捕 获 FIFO 此 函 数 仅 适 用 于 UDB 定 时 器 请 参 阅 本 数 据 手 册 的 MISRA 合 规 性 中 UDB FIFO 一 节 页 14/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 void Timer_Sleep(void) 这 是 组 件 准 备 进 入 睡 眠 模 式 时 的 首 选 子 程 序 Timer_Sleep() 保 存 当 前 组 件 的 状 态, 然 后 调 用 Timer_Stop() 函 数, 并 调 用 Timer_SaveConfig() 以 保 存 硬 件 配 置 在 调 用 CyPmSleep() 或 CyPmHibernate() 函 数 之 前 调 用 Timer_Sleep() 函 数 有 关 功 耗 管 理 函 数 的 详 细 信 息, 请 参 考 系 统 参 考 指 南 中 的 PSoC Creator 一 节 对 于 FF 定 时 器, 将 在 所 有 低 功 耗 模 式 中 保 留 所 有 寄 存 器 对 于 UDB 定 时 器, 将 保 存 和 恢 复 控 制 寄 存 器 和 计 数 器 值 寄 存 器 此 外, 如 果 调 用 Timer_Sleep() 时 未 调 用 Timer_Stop(), 则 将 存 储 启 用 状 态 void Timer_Wakeup(void) 此 函 数 是 将 组 件 恢 复 到 调 用 Timer_Sleep() 时 的 状 态 的 首 选 子 程 序 Timer_Wakeup() 函 数 调 用 Timer_RestoreConfig() 函 数 以 恢 复 配 置 如 果 在 调 用 Timer_Sleep() 函 数 前 启 用 了 组 件, 则 Timer_Wakeup() 函 数 将 重 新 启 用 组 件 调 用 Timer_Wakeup() 函 数 前 未 调 用 Timer_Sleep() 或 Timer_SaveConfig() 函 数, 则 可 能 产 生 意 外 行 为 void Timer_Init(void) 根 据 自 定 义 程 序 Configure 对 话 框 设 置, 初 始 化 或 恢 复 组 件 需 调 用 Timer_Init(), 因 为 Timer_Start() 子 程 序 会 调 用 该 函 数, 这 是 开 始 组 件 操 作 的 首 选 方 法 根 据 自 定 义 程 序 Configure 对 话 框 中 的 内 容 设 置 所 有 寄 存 器 文 档 编 号 :001-87205 修 订 版 *A 页 15/36

定 时 器 PSoC Creator 组 件 数 据 手 册 void Timer_Enable(void) 激 活 硬 件, 开 始 组 件 操 作 需 调 用 Timer_Enable(), 因 为 Timer_Start() 子 程 序 会 调 用 该 函 数, 这 是 开 始 组 件 操 作 的 首 选 方 法 此 函 数 针 对 任 一 软 件 控 制 使 能 模 式 启 用 定 时 器 如 果 Enable Mode ( 使 能 模 式 ) 参 数 被 设 置 为 Hardware Only( 仅 硬 件 ), 则 此 函 数 不 对 定 时 器 的 操 作 产 生 任 何 影 响 void Timer_SaveConfig(void) 此 函 数 会 保 存 组 件 配 置 以 及 非 保 留 寄 存 器 它 还 保 存 Configure( 配 置 ) 对 话 框 中 定 义 的 或 通 过 相 应 API 修 改 的 当 前 器 件 参 数 值 此 函 数 由 Timer_Sleep() 函 数 调 用 void Timer_RestoreConfig(void) 此 函 数 会 恢 复 组 件 配 置 以 及 非 保 留 寄 存 器 它 还 将 组 件 参 数 值 恢 复 为 在 调 用 Timer_Sleep() 函 数 之 前 的 值 调 用 此 函 数 前 未 调 用 Timer_Sleep() 或 Timer_SaveConfig() 函 数 可 能 会 产 生 意 外 行 为 示 例 固 件 源 代 码 PSoC Creator 在 Find Example Project 对 话 框 中 提 供 了 包 括 原 理 图 和 代 码 示 例 的 许 多 示 例 项 目 要 查 看 特 定 组 件 实 例, 请 打 开 Component Catalog 中 的 对 话 框 或 者 原 理 图 中 的 组 件 样 例 要 查 看 通 用 示 例, 请 打 开 Start Page 或 File 菜 单 中 的 对 话 框 根 据 要 求, 可 以 通 过 使 用 对 话 框 中 的 Filter Options 选 项 来 限 定 可 选 的 项 目 列 表 更 多 有 关 信 息, 请 参 考 PSoC Creator 帮 助 中 主 题 为 查 找 示 例 项 目 中 的 内 容 MISRA 合 规 性 本 节 介 绍 了 MISRA-C:2004 合 规 性 和 本 组 件 的 偏 差 情 况 定 义 了 两 种 类 型 的 偏 差 : 页 16/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 项 目 偏 差 适 用 于 所 有 PSoC Creator 组 件 的 偏 差 特 定 偏 差 仅 适 用 于 该 组 件 的 偏 差 本 节 介 绍 了 有 关 组 件 特 定 偏 差 的 信 息 系 统 参 考 指 南 的 MISRA 合 规 性 章 节 中 介 绍 了 项 目 偏 差 以 及 有 关 MISRA 合 规 性 验 证 环 境 的 信 息 此 定 时 器 组 件 没 有 任 何 特 定 偏 差 功 能 说 明 如 上 文 所 述, 可 配 置 定 时 器 组 件 用 于 多 种 用 途 本 节 将 更 详 细 地 介 绍 这 些 配 置 常 规 操 作 在 时 钟 输 入 的 各 个 上 升 沿 上, 定 时 器 组 件 始 终 进 行 倒 计 时 在 计 数 器 达 到 零 值 后 的 下 一 个 时 钟 边 沿 上, 定 时 器 组 件 将 从 周 期 寄 存 器 中 重 新 加 载 计 数 器 寄 存 器 定 时 器 保 持 禁 用 状 态, 直 至 由 硬 件 或 软 件 启 用, 这 取 决 于 配 置 设 置 用 户 不 可 使 用 此 组 件, 直 至 调 用 Timer_Start(), 因 为 组 件 需 要 该 函 数 来 配 置 定 时 器 输 出 可 监 控 并 重 新 加 载 计 数 器 寄 存 器 tc 输 出 可 用 于 监 控 计 数 器 寄 存 器 的 当 前 值 ; 当 计 数 器 为 零 时 它 为 高 电 平 定 时 器 输 入 可 以 在 硬 件 或 固 件 中 执 行 捕 获 操 作 计 数 寄 存 器 中 的 当 前 值 被 复 制 到 一 个 捕 获 寄 存 器 或 FIFO 中 这 样, 固 件 稍 后 可 读 取 此 捕 获 值 复 位 和 启 用 功 能 可 用 于 使 定 时 器 组 件 与 其 它 组 件 保 持 同 步 定 时 器 组 件 仅 在 已 启 用 且 复 位 后 未 保 留 时 进 行 计 数 也 可 针 对 触 发 输 入 事 件 启 动 计 数 可 由 硬 件 或 固 件 复 位 或 启 用 所 有 的 触 发 都 是 硬 件 触 发 的 注 意 :FF 定 时 器 实 现 ( 捕 获 复 位 和 启 用 ) 的 所 有 输 入 都 将 在 FF 定 时 器 中 进 行 双 同 步 同 步 器 以 BUS_CLK 速 度 运 行 这 导 致 应 用 这 些 信 号 的 时 间 与 这 些 信 号 生 效 的 时 间 之 间 出 现 延 迟 延 迟 取 决 于 BUS_CLK 与 运 行 定 时 器 的 时 钟 的 比 率 针 对 FF 定 时 器 显 示 的 所 有 波 形 都 将 同 步 后 的 信 号 文 档 编 号 :001-87205 修 订 版 *A 页 17/36

定 时 器 PSoC Creator 组 件 数 据 手 册 定 时 器 中 断 中 断 输 出 可 用 来 与 CPU 或 其 他 组 件 进 行 关 于 事 件 发 生 的 通 信 可 针 对 一 个 或 多 个 事 件 的 组 合 将 中 断 设 为 活 动 状 态 应 仔 细 设 计 中 断 处 理 程 序, 以 便 确 定 中 断 源 以 及 中 断 是 边 沿 敏 感 型 还 是 电 平 敏 感 型, 并 清 除 中 断 源 定 时 器 寄 存 器 有 三 种 寄 存 器 : 模 式 寄 存 器 状 态 寄 存 器 和 控 制 寄 存 器 请 参 考 寄 存 器 一 节 的 内 容 配 置 默 认 配 置 将 定 时 器 组 件 拖 动 到 PSoC Creator 原 理 图 上 时, 默 认 配 置 为 8 位 FF 定 时 器, 此 定 时 器 在 时 钟 输 入 的 上 升 沿 上 递 减 计 数 器 寄 存 器 图 1 显 示 的 是 默 认 原 理 图 宏 和 Configure( 配 置 ) 对 话 框 的 设 置 图 1. 默 认 定 时 器 配 置 此 定 时 器 的 实 际 功 能 因 不 同 实 现 方 法 和 芯 片 而 异 下 面 各 图 显 示 的 是 此 定 时 器 在 UDB 实 现 中 的 功 能, 以 及 它 在 不 同 芯 片 上 FF 实 现 中 的 功 能 图 2 中 显 示 的 是 UDB 定 时 器 的 默 认 配 置 的 功 能 在 定 时 器 配 置 期 间 预 加 载 计 数 器, 并 在 每 次 计 数 器 达 到 零 时 都 将 重 新 加 载 计 数 器 在 默 认 配 置 中,Period 被 设 为 256 这 将 导 致 将 0xFF 加 载 到 计 数 器 中, 因 为 从 0xFF 计 数 至 0 会 产 生 256 的 周 期 复 位 信 号 强 制 计 数 器 从 其 周 期 寄 存 器 中 重 新 加 载 此 计 数 器 保 持 在 此 状 态, 直 至 移 除 复 位 信 号 页 18/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 终 端 计 数 表 示 此 定 时 器 已 倒 计 时 至 零 它 在 时 钟 循 环 上 仍 处 于 活 动 状 态, 并 在 计 数 值 达 到 零 后 遵 循 此 时 钟 循 环 终 端 计 数 信 号 并 非 是 基 于 复 位 事 件 而 生 成 的 默 认 情 况 下, 捕 获 功 能 配 置 为 在 捕 获 输 入 的 每 个 上 升 沿 上 进 行 捕 获 论 捕 获 脉 冲 宽 度 如 何, 都 将 捕 获 单 个 值 在 此 例 中, 将 捕 获 0xFE 和 0x01 值, 这 些 值 可 由 CPU 读 取 图 2. 默 认 UDB 定 时 器 实 现 的 示 例 波 形 clock reset tc capture count 0xFF 0xFE 0xFD 0xFC 0x01 0x00 0xFF 0xFE 0xFD 0xFF 0xFE 图 3 中 显 示 的 是 用 于 PSoC 3 FF 定 时 器 的 默 认 配 置 的 功 能 对 于 FF 定 时 器, 配 置 期 间 将 不 预 加 载 计 数 器 值, 计 数 器 将 从 零 值 开 始 对 于 PSoC 3, 这 将 导 致 FF 定 时 器 与 UDB 定 时 器 出 现 三 个 时 钟 周 期 的 初 始 延 迟 时 间 定 时 器 开 始 计 数 之 前 有 两 个 时 钟 周 期 的 延 迟, 并 用 一 个 周 期 从 周 期 寄 存 器 中 加 载 定 时 器 定 时 器 运 行 之 后, 周 期 与 UDB 定 时 器 相 同 复 位 信 号 强 制 计 数 器 从 周 期 寄 存 器 中 进 行 加 载, 并 保 留 该 计 数 直 至 移 除 复 位 一 旦 移 除 了 复 位, 在 计 数 器 开 始 倒 计 时 之 前 有 两 个 时 钟 周 期 的 延 迟 终 端 计 数 表 示 此 定 时 器 已 倒 计 时 至 零 它 在 时 钟 循 环 上 仍 处 于 活 动 状 态, 并 在 计 数 值 达 到 零 后 遵 循 此 时 钟 循 环 终 端 计 数 信 号 并 非 是 基 于 复 位 事 件 或 因 初 始 计 数 器 值 为 零 而 生 成 的 默 认 情 况 下, 捕 获 功 能 配 置 为 在 捕 获 输 入 的 每 个 上 升 沿 上 进 行 捕 获 论 捕 获 脉 冲 宽 度 如 何, 都 将 捕 获 单 个 值 在 此 例 中, 将 捕 获 0xFF 和 0x01 值, 这 些 值 可 由 CPU 读 取 此 功 能 与 UDB 定 时 器 相 同 文 档 编 号 :001-87205 修 订 版 *A 页 19/36

定 时 器 PSoC Creator 组 件 数 据 手 册 图 3. 默 认 PSoC 3 FF 定 时 器 实 现 示 例 波 形 clock reset tc capture count 0x00 0xFF 0x01 0x00 0xFF 0xFE 0xFD 0xFF 0xFE 图 4 中 显 示 用 于 PSoC5 LP 上 固 定 功 能 实 现 的 默 认 配 置 的 功 能 对 于 固 定 功 能 实 现, 配 置 期 间 将 不 预 加 载 计 数 器 值, 计 数 器 将 从 零 值 开 始 对 于 PSoC5 LP, 这 将 导 致 FF 定 时 器 与 UDB 定 时 器 出 现 两 个 时 钟 周 期 的 初 始 延 迟 时 间 定 时 器 开 始 计 数 之 前 有 一 个 时 钟 周 期 的 延 迟, 并 用 一 个 时 钟 周 期 从 周 期 寄 存 器 中 加 载 定 时 器 定 时 器 运 行 之 后, 周 期 与 UDB 定 时 器 相 同 复 位 信 号 强 制 计 数 器 清 除, 并 保 留 在 零 值 状 态, 直 至 移 除 复 位 复 位 后 的 功 能 与 初 始 状 态 的 功 能 相 同, 第 一 个 周 期 比 UDB 定 时 器 长 两 个 时 钟 周 期 终 端 计 数 表 示 此 定 时 器 值 为 零 与 计 数 器 的 初 始 值 和 复 位 时 的 值 组 合 时, 将 导 致 初 始 化 时 和 复 位 后 都 有 两 个 时 钟 周 期 的 TC 脉 冲 复 位 为 活 动 状 态 时 TC 保 持 低 电 平, 但 在 移 除 复 位 后 会 变 为 高 电 平 两 个 时 钟 周 期 默 认 情 况 下, 捕 获 功 能 配 置 为 在 捕 获 输 入 的 每 个 上 升 沿 上 进 行 捕 获 论 捕 获 脉 冲 宽 度 如 何, 都 将 捕 获 单 个 值 在 此 例 中, 将 捕 获 0xFF 和 0x01 值, 这 些 值 可 由 CPU 读 取 此 功 能 与 UDB 定 时 器 相 同 页 20/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 图 4. 默 认 PSoC5 LP FF 定 时 器 实 现 示 例 波 形 clock reset tc capture count 0x00 0xFF 0xFE 0x01 0x00 0xFF 0xFE 0xFD 0x00 0xFF 0xFE 软 件 和 硬 件 使 能 配 置 硬 件 使 能 的 功 能 因 特 定 实 现 而 异 图 5 中 显 示 为 具 备 UDB 定 时 器 的 软 件 和 硬 件 使 能 配 置 的 定 时 器 的 功 能 启 用 定 时 器 后, 计 数 器 在 每 个 循 环 中 递 减 在 从 周 期 寄 存 器 中 重 新 加 载 计 数 器 的 循 环 内, 将 生 成 单 个 时 钟 周 期 终 端 计 数 脉 冲 TC 信 号 将 始 终 是 单 个 时 钟 周 期 脉 冲 注 意 : 它 在 重 新 加 载 周 期 内 出 现 如 果 重 新 加 载 因 计 数 器 到 达 零 计 数 时 被 禁 用 而 延 迟,TC 脉 冲 也 会 延 迟, 直 至 重 新 启 用 计 数 器 并 重 新 加 载 计 数 器 如 果 因 复 位 信 号 而 强 制 计 数 器 重 新 加 载, 则 不 生 成 TC 脉 冲 图 5. 软 件 和 硬 件 使 能 UDB 定 时 器 实 现 示 例 波 形 clock enable tc count 3 2 1 0 3 2 1 0 3 2 图 6 中 显 示 为 具 备 PSoC 3 FF 定 时 器 的 软 件 和 硬 件 使 能 配 置 的 定 时 器 的 功 能 文 档 编 号 :001-87205 修 订 版 *A 页 21/36

定 时 器 PSoC Creator 组 件 数 据 手 册 硬 件 使 能 与 计 数 器 的 有 效 启 用 之 间 有 两 个 时 钟 周 期 的 延 迟 结 果 是, 如 果 两 个 时 钟 循 环 之 前 的 使 能 信 号 为 高 电 平, 则 计 数 器 将 递 减 启 用 和 禁 用 计 数 器 时 都 会 出 现 此 延 迟 在 从 周 期 寄 存 器 中 重 新 加 载 计 数 器 的 循 环 内, 将 生 成 单 周 期 计 数 脉 冲 TC 信 号 始 终 是 单 个 时 钟 脉 冲 注 意 : 在 计 数 器 到 达 零 值 前 的 两 个 时 钟 周 期 内, 如 果 定 时 器 的 使 能 信 号 为 低 电 平, 则 不 会 为 此 定 时 器 周 期 生 成 TC 输 出 脉 冲 当 重 新 启 用 定 时 器 时, 将 重 新 加 载 此 定 时 器, 而 不 会 生 成 TC 信 号 将 在 示 例 波 形 中 显 示 此 操 作 图 6. 软 件 和 硬 件 使 能 PSoC 3 FF 定 时 器 实 现 示 例 波 形 clock enable tc count 0 3 2 1 0 3 2 1 0 3 图 7 中 显 示 为 具 备 PSoC5 LP FF 定 时 器 的 软 件 和 硬 件 使 能 配 置 的 定 时 器 的 功 能 硬 件 使 能 与 计 数 器 的 有 效 启 用 之 间 有 一 个 时 钟 循 周 期 的 延 迟 结 果 是, 如 果 一 个 时 钟 周 期 之 前 的 使 能 信 号 为 高 电 平, 则 计 数 器 将 递 减 启 用 和 禁 用 计 数 器 时 都 会 出 现 此 延 迟 当 计 数 器 值 等 于 零 时 将 生 成 终 端 计 数 信 号, 并 有 一 个 时 钟 周 期 的 延 迟 此 情 况 发 生 在 初 始 配 置 时 当 计 数 器 等 于 零 时, 如 果 使 能 信 号 导 致 定 时 器 停 止, 则 TC 信 号 保 持 高 电 平 注 意 : 如 果 使 能 脉 冲 保 持 非 活 动 状 态 一 个 循 环, 硬 件 使 能 信 号 不 能 正 常 工 作 单 周 期 禁 用 脉 冲 在 该 计 数 时 锁 定 定 时 器, 直 至 定 时 器 再 次 禁 用 然 后 重 新 启 用 因 此, 硬 件 禁 用 始 终 必 须 需 要 两 个 或 更 多 的 时 钟 周 期 单 时 钟 周 期 使 能 将 正 常 工 作 图 7. 软 件 和 硬 件 使 能 PSoC5 LP FF 定 时 器 实 现 示 例 波 形 clock enable tc count 0 3 2 1 0 3 2 1 0 3 页 22/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 单 次 触 发 配 置 单 次 触 发 模 式 的 功 能 因 特 定 实 现 而 异 图 8 中 显 示 为 具 备 UDB 定 时 器 的 单 次 触 发 配 置 的 定 时 器 的 功 能 硬 件 使 能 与 计 数 器 的 有 效 启 用 之 间 有 一 个 时 钟 循 周 期 的 延 迟 结 果 是, 如 果 一 个 时 钟 周 期 之 前 的 使 能 信 号 为 高 电 平, 则 计 数 器 将 递 减 启 用 和 禁 用 计 数 器 时 都 会 出 现 此 延 迟 这 与 连 续 运 行 模 式 下 的 行 为 不 同, 连 续 运 行 模 式 计 数 时 延 迟 TC 信 号 始 终 是 单 个 时 钟 脉 冲 注 意 : 它 在 重 新 加 载 周 期 内 出 现 如 果 重 新 加 载 因 计 数 器 到 达 零 计 数 时 被 禁 用 而 延 迟,TC 脉 冲 也 会 延 迟, 直 至 重 新 启 用 计 数 器 并 重 新 加 载 计 数 器 如 果 因 复 位 信 号 而 强 制 计 数 器 重 新 加 载, 则 不 生 成 TC 脉 冲 单 次 触 发 周 期 完 成 后, 可 使 用 硬 件 复 位 设 置 定 时 器 以 运 行 另 一 个 周 期 硬 件 复 位 从 周 期 寄 存 器 中 重 新 加 载 计 数 器 移 除 复 位 后 的 一 个 周 期 内, 将 在 硬 件 使 能 处 于 活 动 状 态 时 启 用 定 时 器 以 再 次 倒 计 时 图 8. 单 次 触 发 式 操 作 UDB 定 时 器 实 现 示 例 波 形 clock enable reset tc count 3 2 1 0 3 2 1 图 9 中 显 示 为 具 备 PSoC 3 FF 定 时 器 的 单 次 触 发 式 操 作 配 置 的 定 时 器 的 功 能 硬 件 使 能 与 计 数 器 的 有 效 启 用 之 间 有 两 个 时 钟 周 期 的 延 迟 结 果 是, 如 果 两 个 时 钟 循 环 之 前 的 使 能 信 号 为 高 电 平, 则 计 数 器 将 递 减 启 用 和 禁 用 计 数 器 时 都 会 出 现 此 延 迟 在 从 周 期 寄 存 器 中 重 新 加 载 计 数 器 的 循 环 内, 将 生 成 单 周 期 计 数 脉 冲 TC 信 号 始 终 是 单 个 时 钟 脉 冲 这 与 连 续 运 行 模 式 中 的 操 作 完 全 一 致 单 次 触 发 模 式 仅 适 用 于 此 实 现 的 额 外 功 能 是, 一 旦 定 时 器 开 始 计 数, 使 能 信 号 首 次 变 为 低 电 平 时 将 在 该 值 停 止 计 数 器 要 重 新 开 始 计 数, 定 时 器 必 须 复 位 文 档 编 号 :001-87205 修 订 版 *A 页 23/36

定 时 器 PSoC Creator 组 件 数 据 手 册 单 次 触 发 周 期 完 成 或 已 因 使 能 信 号 禁 用 而 停 止 后, 可 使 用 硬 件 复 位 设 置 定 时 器 以 运 行 另 一 个 周 期 硬 件 复 位 从 周 期 寄 存 器 中 重 新 加 载 计 数 器 释 放 复 位 后 有 两 个 循 环 的 延 迟, 直 至 启 用 定 时 器 再 次 倒 计 时 注 意 : 对 于 此 实 现, 仅 可 使 用 Timer_Stop() API 后 跟 Timer_Start() API 以 重 新 启 动 定 时 器 这 样 使 计 数 器 能 够 继 续 计 数, 但 不 会 重 新 加 载 计 数 器 值, 因 此 此 方 法 仅 适 用 于 计 数 器 已 完 成 一 个 周 期 并 已 重 新 加 载 的 情 况 图 9. 单 次 触 发 式 操 作 FF PSoC 3 定 时 器 实 现 示 例 波 形 clock enable reset tc count 0 3 2 3 2 1 0 3 图 10 中 显 示 为 具 备 PSoC5 LP 定 时 器 的 单 次 触 发 式 操 作 配 置 的 定 时 器 的 功 能 当 计 数 器 值 等 于 零 时 将 生 成 终 端 计 数 信 号, 并 有 一 个 时 钟 周 期 的 延 迟 此 情 况 发 生 在 初 始 配 置 时 完 成 一 个 单 次 触 发 周 期 后,TC 信 号 将 保 持 高 电 平, 因 为 计 数 器 值 将 保 持 在 零 值 计 数 器 为 零 值 时 生 成 TC 信 号 的 一 个 例 外 情 况 是, 当 复 位 信 号 为 活 动 状 态 时,TC 始 终 保 持 在 零 值 单 次 触 发 周 期 完 成 后, 可 使 用 硬 件 复 位 设 置 定 时 器 以 运 行 另 一 个 周 期 硬 件 复 位 重 新 加 载 计 数 器 ( 零 值 ), 并 配 置 定 时 器 以 重 新 运 行 释 放 复 位 后 有 一 个 时 钟 周 期 的 延 迟, 直 至 启 用 定 时 器 再 次 倒 计 时 注 意 :PSoC5 LP FF 配 置 不 支 持 使 用 硬 件 使 能 的 单 次 触 发 模 式 注 意 : 由 于 当 复 位 信 号 处 于 活 动 状 态 时 TC 信 号 保 持 低 电 平, 因 此 每 次 单 次 触 发 运 行 完 成 时 都 会 生 成 两 个 TC 脉 冲 第 一 个 脉 冲 是 在 计 数 器 计 数 至 零 时 生 成 的 第 二 个 脉 冲 是 在 移 除 复 位 后 且 计 数 器 开 始 计 数 前 生 成 的 将 在 示 例 波 形 中 显 示 此 操 作 页 24/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 图 10. 单 次 触 发 式 操 作 FF PSoC5 LP 定 时 器 实 现 示 例 波 形 clock reset tc count 0 3 2 0 3 2 1 0 3 UDB FIFO UDB 数 据 路 径 FIFO 用 于 捕 获 计 数 器 值 每 个 FIFO 的 深 度 为 四 个 字 节 对 于 多 字 节 配 置, 将 在 相 关 UDB 的 FIFO 中 同 步 捕 获 计 数 器 的 各 个 字 节 因 此, 在 CPU 必 须 读 取 捕 获 寄 存 器 以 避 免 丢 失 数 据 之 前, 可 以 完 成 最 多 四 次 捕 获 4-byte FIFO 44 88 4-byte FIFO 33 77 4-byte FIFO 22 66 4-byte FIFO 11 55 CC BB AA 99 DP8 DP8 DP8 DP8 32-bit 24-bit 16-bit 8-bit Capture Value #1 = 0x44332211 Capture Value #2 = 0x88776655 Accumulator = 0xCCBBAA99 文 档 编 号 :001-87205 修 订 版 *A 页 25/36

定 时 器 PSoC Creator 组 件 数 据 手 册 寄 存 器 状 态 寄 存 器 状 态 寄 存 器 是 只 读 寄 存 器, 包 含 为 定 时 器 定 义 的 各 种 状 态 位 使 用 Timer_ReadStatusRegister() 函 数 读 取 状 态 寄 存 器 值 所 有 在 状 态 寄 存 器 上 的 操 作 必 须 使 用 以 下 针 对 位 域 的 定 义, 因 为 FF 实 现 和 UDB 实 现 的 位 域 可 能 不 同 状 态 寄 存 器 中 的 一 些 位 是 粘 滞 的, 意 味 着 当 它 们 设 为 1 时, 它 们 将 保 持 该 状 态, 直 至 它 们 在 寄 存 器 读 取 时 被 清 除 状 态 数 据 在 定 时 器 的 输 入 时 钟 边 沿 处 寄 存, 使 得 所 有 粘 滞 位 具 有 了 定 时 器 的 时 序 分 辨 率 所 有 非 粘 滞 位 都 是 透 明 的, 可 以 直 接 从 输 入 中 读 取 到 状 态 寄 存 器 内 Timer_Status(UDB 定 时 器 ) 位 7 6 5 4 3 2 1 0 名 称 RSVD RSVD RSVD RSVD FIFO 非 空 FIFO 已 满 捕 获 TC 粘 滞 N/A N/A N/A N/A FALSE FALSE TRUE TRUE Timer_Status( 固 定 功 能 实 现 ) 位 7 6 5 4 3 2 1 0 名 称 TC 捕 获 RSVD RSVD RSVD RSVD RSVD RSVD 粘 滞 TRUE TRUE N/A N/A N/A N/A N/A N/A 位 名 头 文 件 中 的 #define 说 明 TC Timer_STATUS_TC 当 计 数 器 值 等 于 零 时, 此 位 变 为 1 捕 获 Timer_STATUS_CAPTURE 当 触 发 有 效 捕 获 事 件 时, 此 位 变 为 1 但 不 包 括 软 件 捕 获 FIFO 已 满 Timer_STATUS_FIFOFULL 当 UDB FIFO 达 到 被 四 个 条 目 定 义 的 已 满 状 态 时, 此 位 就 变 为 1 FIFO 非 空 Timer_STATUS_FIFONEMP 当 UDB FIFO 包 含 至 少 一 个 条 目 时, 此 位 就 变 为 1 页 26/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 模 式 寄 存 器 模 式 寄 存 器 是 一 个 读 / 写 寄 存 器, 它 包 含 为 计 数 器 定 义 的 中 断 屏 蔽 位 使 用 Timer_SetInterruptMode() 函 数 设 置 模 式 位 所 有 在 模 式 寄 存 器 上 的 操 作 必 须 使 用 以 下 针 对 位 域 的 定 义, 因 为 FF 实 现 和 UDB 实 现 的 位 域 可 能 不 同 定 时 器 组 件 中 断 输 入 是 所 有 中 断 源 的 OR 函 数 每 个 源 都 可 以 通 过 模 式 寄 存 器 中 相 应 的 位 来 启 用 或 屏 蔽 Timer_Mode(UDB 定 时 器 ) 位 7 6 5 4 3 2 1 0 名 称 RSVD RSVD RSVD RSVD RSVD FIFO 已 满 捕 获 TC Timer_Mode( 固 定 功 能 实 现 ) 位 7 6 5 4 3 2 1 0 名 称 RSVD RSVD RSVD RSVD TC 捕 获 RSVD RSVD 位 名 头 文 件 中 的 #define 使 能 中 断 输 出 TC Timer_STATUS_TC_INT_MASK 计 数 寄 存 器 等 于 0 捕 获 Timer_STATUS_CAPTURE_INT_MASK 捕 获 FIFO 已 满 Timer_STATUS_FIFOFULL_INT_MASK UDB FIFO 已 满 控 制 寄 存 器 控 制 寄 存 器 用 于 控 制 计 数 器 的 常 规 操 作 此 寄 存 器 写 入 时 需 要 调 用 Counter_WriteControlRegister() 函 数, 并 使 用 Counter_ReadControlRegister() 函 数 读 取 在 控 制 寄 存 器 上 执 行 的 所 有 操 作 都 必 须 使 用 以 下 针 对 位 域 的 定 义, 因 为 这 些 位 域 对 于 FF 定 时 器 和 UDB 定 时 器 可 能 各 有 不 同 注 意 : 写 入 到 控 制 寄 存 器 时, 绝 不 能 更 改 任 何 保 留 位 所 有 操 作 必 须 读 取 - 修 改 - 写 入, 并 且 屏 蔽 保 留 位 文 档 编 号 :001-87205 修 订 版 *A 页 27/36

定 时 器 PSoC Creator 组 件 数 据 手 册 Timer_Control(UDB 定 时 器 ) 位 7 6 5 4 3 2 1 0 名 称 使 能 捕 获 模 式 [1:0] 触 发 使 能 触 发 模 式 [1:0] 中 断 计 数 [1:0] Timer_Control1( 固 定 功 能 实 现 ) 位 7 6 5 4 3 2 1 0 名 称 RSVD RSVD RSVD RSVD RSVD RSVD RSVD 使 能 位 名 头 文 件 中 的 #define 说 明 / 枚 举 类 型 中 断 计 数 Timer_CTRL_INTCNT_MASK 中 断 计 数 位 定 义 开 始 中 断 前 要 计 数 的 捕 获 事 件 数 触 发 模 式 Timer_CTRL_TRIG_MODE_MASK 触 发 模 式 控 制 位 定 义 预 期 的 触 发 输 入 功 能 此 位 域 在 初 始 化 时 进 行 配 置, 并 在 Trigger Mode( 触 发 模 式 ) 参 数 中 定 义 触 发 模 式 Timer B_TIMER TM_NONE Timer B_TIMER TM_RISINGEDGE Timer B_TIMER TM_FALLINGEDGE Timer B_TIMER TM_EITHEREDGE Timer B_TIMER TM_SOFTWARE 触 发 使 能 Timer_CTRL_TRIG_EN 触 发 启 用 位 可 实 现 对 何 时 准 备 触 发 事 件 的 软 件 控 制 捕 获 模 式 Timer_CTRL_CAP_MODE_MASK 捕 获 模 式 控 制 位 是 两 位 域, 用 于 定 义 预 期 的 捕 获 输 入 操 作 此 位 域 是 在 初 始 化 时 使 用 Capture Mode 参 数 中 所 定 义 的 捕 获 模 式 下 配 置 的 Timer B_TIMER CM_NONE Timer B_TIMER CM_RISINGEDGE Timer B_TIMER CM_FALLINGEDGE Timer B_TIMER CM_EITHEREDGE Timer B_TIMER CM_SOFTWARE 使 能 Timer_CTRL_ENABLE 在 软 件 控 制 下 启 用 计 数 此 位 仅 在 Enable Mode( 使 能 模 式 ) 参 数 设 为 Software Only( 仅 软 件 ) 或 Software and Hardware ( 软 件 和 硬 件 ) 时 才 有 效 页 28/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 计 数 器 (8 16 24 或 32 位, 根 据 分 辨 率 ) 计 数 寄 存 器 包 含 当 前 的 计 数 器 值 此 寄 存 器 递 减, 以 响 应 所 有 时 钟 输 入 的 上 升 沿 可 通 过 调 用 Timer_ReadCounter() 函 数 随 时 读 取 此 寄 存 器 捕 获 (8 16 24 或 32 位, 根 据 分 辨 率 ) 捕 获 寄 存 器 包 含 被 捕 获 的 计 数 器 值 任 何 捕 获 事 件 都 会 将 计 数 寄 存 器 值 复 制 到 该 寄 存 器 中 在 UDB 实 现 中, 该 寄 存 器 实 际 上 是 一 个 FIFO 请 参 考 UDB FIFO 一 节 中 的 内 容, 了 解 更 详 细 的 信 息 周 期 (8 16 24 或 32 位, 根 据 分 辨 率 ) 周 期 寄 存 器 包 含 用 户 通 过 Timer_WritePeriod() 函 数 设 置 的 周 期 值 和 Period( 周 期 ) 参 数 在 初 始 化 期 间 定 义 的 周 期 值 发 生 重 载 事 件 时, 该 周 期 寄 存 器 会 被 复 制 到 计 数 寄 存 器 中 组 件 调 试 窗 口 定 时 器 组 件 支 持 PSoC Creator 组 件 调 试 窗 口 调 试 窗 口 中 显 示 了 以 下 各 寄 存 器 某 些 寄 存 器 可 用 于 UDB 定 时 器 ( 用 * 表 示 ), 某 些 寄 存 器 仅 可 用 于 固 定 功 能 实 现 ( 用 ** 表 示 ) 所 有 其 它 寄 存 器 都 适 用 于 任 一 配 置 寄 存 器 : 名 称 : Timer_CONTROL 控 制 寄 存 器 有 关 位 域 定 义, 请 参 阅 此 数 据 手 册 中 早 先 的 Timer_Control 寄 存 器 说 明 寄 存 器 : Timer_CONTROL2 ** 名 称 : 固 定 功 能 控 制 寄 存 器 #2 FF 定 时 器 模 块 具 有 第 二 个 配 置 寄 存 器 有 关 位 域 定 义, 请 参 阅 技 术 参 考 手 册 寄 存 器 : Timer_STATUS_MASK * 名 称 : 状 态 寄 存 器 中 断 掩 码 配 置 允 许 您 在 组 件 的 中 断 输 出 引 脚 上 启 用 任 意 状 态 位 以 作 为 中 断 源 使 用 有 关 位 域 定 义 的 一 对 一 关 联, 请 参 阅 此 数 据 手 册 中 早 先 的 Timer_Status 寄 存 器 说 明 寄 存 器 : Timer_STATUS_AUX_CTRL * 名 称 : 状 态 寄 存 器 的 辅 助 控 制 寄 存 器 允 许 您 通 过 位 域 INT_EN 启 用 内 部 状 态 寄 存 器 的 中 断 输 出 有 关 位 域 定 义, 请 参 阅 技 术 参 考 手 册 文 档 编 号 :001-87205 修 订 版 *A 页 29/36

定 时 器 PSoC Creator 组 件 数 据 手 册 寄 存 器 : 名 称 : Timer_PERIOD 定 时 器 周 期 寄 存 器 定 义 定 时 器 各 个 循 环 开 始 时 重 新 加 载 到 周 期 计 数 器 中 的 周 期 值 寄 存 器 : 名 称 : Timer_COUNTER 定 时 器 计 数 器 寄 存 器 表 示 当 前 定 时 器 当 前 计 数 器 值 ( 在 时 钟 循 环 中, 从 周 期 降 至 零 ) 寄 存 器 : Timer_GLOBAL_ENABLE ** 名 称 : FF 定 时 器 全 局 使 能 寄 存 器 启 用 FF 定 时 器 以 便 操 作 有 关 位 域 定 义, 请 参 阅 技 术 参 考 手 册 资 源 定 时 器 组 件 基 于 Implementation( 实 现 ) 参 数 放 置 于 设 备 中 如 果 此 参 数 设 为 Fixed Function ( 固 定 功 能 ), 则 此 组 件 使 用 FF 计 数 器 / 定 时 器 模 块 如 果 此 参 数 设 为 UDB, 则 此 组 件 使 用 以 下 资 源 [1] 配 置 数 据 路 径 单 元 资 源 类 型 宏 单 元 状 态 单 元 控 制 单 元 DMA 通 道 中 断 8 位 UDB 定 时 器 触 发 模 式 = 上 升 沿 16 位 UDB 定 时 器 触 发 模 式 = 上 升 沿 24 位 UDB 定 时 器 触 发 模 式 = 上 升 沿 32 位 UDB 定 时 器 触 发 模 式 = 上 升 沿 8 位 UDB 定 时 器 单 次 触 发 模 式 = 上 升 沿 1 6 1 1 2 6 1 1 3 6 1 1 4 6 1 1 1 9 1 1 1. 对 于 所 有 配 置, 通 用 设 置 为 :Enable mode( 使 能 模 式 )= Software only( 仅 软 件 ),Capture mode( 捕 获 模 式 )= None( ),Interrupt( 中 断 )= On TC(TC 上 ) 页 30/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 16 位 UDB 定 时 器 单 次 触 发 模 式 = 上 升 沿 2 9 1 1 API 存 储 器 大 小 根 据 编 译 器 器 件 所 使 用 的 API 数 量 以 及 组 件 的 配 置 情 况 不 同, 组 件 的 存 储 器 大 小 也 不 一 样 下 表 提 供 了 组 件 配 置 中 所 有 API 占 用 的 存 储 器 大 小 通 过 使 用 释 放 模 式 下 的 相 应 编 译 器, 可 以 进 行 测 量 操 作 在 该 模 式 下, 存 储 器 的 大 小 得 到 优 化 对 于 特 定 设 计, 可 以 分 析 编 译 器 生 成 的 映 射 文 件, 从 而 确 定 存 储 器 的 使 用 大 小 [2] 配 置 PSoC 3 (Keil_PK51) PSoC 4(GCC) PSoC5 LP(GCC) 闪 存 字 节 SRAM 字 节 闪 存 字 节 SRAM 字 节 闪 存 字 节 SRAM 字 节 8 位 UDB 定 时 器 255 5 396 5 444 5 8 位 FF 定 时 器 234 2 N/A N/A 366 5 16 位 UDB 定 时 器 299 6 396 5 444 9 16 位 FF 定 时 器 265 2 N/A N/A 380 5 24 位 UDB 定 时 器 287 8 412 5 452 13 32 位 UDB 定 时 器 287 8 396 5 444 13 8 位 UDB 定 时 器 单 次 触 发 255 5 396 5 444 5 16 位 UDB 定 时 器 单 次 触 发 299 6 396 5 444 9 PSoC 3 的 直 流 和 交 流 电 气 特 性 (FF 定 时 器 ) 除 非 另 有 说 明, 否 则 这 些 规 范 的 适 用 条 件 是 :-40 C TA 85 C 且 TJ 100 C 除 非 另 有 说 明, 否 则 这 些 规 范 的 适 用 范 围 为 1.71 V 到 5.5 V 直 流 电 特 性 参 数 说 明 条 件 最 小 值 典 型 值 最 大 值 单 位 16 位 定 时 器 模 块 的 电 流 消 耗 输 入 时 钟 频 率 3 MHz 15 μa 2. 对 于 所 有 配 置, 通 用 设 置 为 :Enable mode( 使 能 模 式 )= Software only( 仅 软 件 ),Capture mode( 捕 获 模 式 )= None( ),Interrupt( 中 断 )= On TC(TC 上 ) 文 档 编 号 :001-87205 修 订 版 *A 页 31/36

定 时 器 PSoC Creator 组 件 数 据 手 册 参 数 说 明 条 件 最 小 值 典 型 值 最 大 值 单 位 输 入 时 钟 频 率 12 MHz 60 μa 输 入 时 钟 频 率 48 MHz 260 μa 输 入 时 钟 频 率 67 MHz 350 μa 交 流 电 特 性 参 数 说 明 条 件 最 小 值 典 型 值 最 大 值 单 位 工 作 频 率 DC 67.01 MHz 捕 获 脉 冲 宽 度 ( 内 部 ) 15 ns 捕 获 脉 冲 宽 度 ( 外 部 ) 30 ns 定 时 器 分 辨 率 15 ns 使 能 脉 冲 宽 度 15 ns 使 能 脉 冲 宽 度 ( 外 部 ) 30 ns 复 位 脉 冲 宽 度 15 ns 复 位 脉 冲 宽 度 ( 外 部 ) 30 ns PSoC5 LP 的 直 流 和 交 流 电 气 特 性 (FF 定 时 器 ) 除 非 另 有 说 明, 否 则 这 些 规 范 的 适 用 条 件 是 :-40 C T A 85 C 且 T J 100 C 除 非 另 有 说 明, 否 则 这 些 规 范 的 适 用 范 围 为 2.7 V 到 5.5 V 直 流 电 特 性 参 数 说 明 条 件 最 小 值 典 型 值 最 大 值 单 位 16 位 定 时 器 模 块 电 流 消 耗 输 入 时 钟 频 率 3 MHz 65 μa 输 入 时 钟 频 率 12 MHz 170 μa 输 入 时 钟 频 率 48 MHz 650 μa 输 入 时 钟 频 率 67 MHz 900 μa 页 32/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 交 流 电 特 性 参 数 说 明 条 件 最 小 值 典 型 值 最 大 值 单 位 工 作 频 率 DC 67.01 MHz 捕 获 脉 冲 宽 度 ( 内 部 ) 13 ns 捕 获 脉 冲 宽 度 ( 外 部 ) 30 ns 定 时 器 分 辨 率 13 ns 使 能 脉 冲 宽 度 13 ns 使 能 脉 冲 宽 度 ( 外 部 ) 30 ns 复 位 脉 冲 宽 度 13 ns 复 位 脉 冲 宽 度 ( 外 部 ) 30 ns 直 流 电 和 交 流 电 电 气 特 性 (UDB 实 现 ) 除 非 另 有 说 明, 否 则 这 些 规 范 的 适 用 条 件 是 :-40 C T A 85 C 且 T J 100 C 除 非 另 有 说 明, 否 则 这 些 规 范 的 适 用 范 围 为 1.71 V 到 5.5 V 直 流 电 特 性 参 数 [1] 说 明 最 小 值 典 型 值 [2] 最 大 值 单 位 I DD 组 件 电 流 消 耗 8 位 UDB, 连 续, 触 发 = 6 µa/mhz 8 位 UDB, 单 次 触 发, 触 发 = 5 µa/mhz 16 位 UDB, 连 续, 触 发 = 上 升 沿 8 µa/mhz 16 位 UDB, 单 次 触 发, 触 发 = 上 升 沿 8 µa/mhz 24 位 UDB, 连 续, 触 发 = 任 一 沿 10 µa/mhz 32 位 UDB, 连 续, 触 发 = 软 件 控 制 13 µa/mhz 1. 对 于 所 有 配 置, 通 用 设 置 为 :Enable mode( 使 能 模 式 )= Software only( 仅 软 件 ),Capture mode( 捕 获 模 式 )= None( ),Interrupt( 中 断 )= On TC(TC 上 ) 2. 未 包 括 器 件 的 IO 和 时 钟 分 配 的 电 流 这 些 值 是 在 温 度 是 25 C 时 的 值 文 档 编 号 :001-87205 修 订 版 *A 页 33/36

定 时 器 PSoC Creator 组 件 数 据 手 册 交 流 电 特 性 参 数 [3] 说 明 [4] 最 小 值 典 型 值 最 大 值 单 位 f CLOCK 组 件 时 钟 频 率 8 位 UDB, 连 续, 触 发 = 44 MHz 8 位 UDB, 单 次 触 发, 触 发 = 44 MHz 16 位 UDB, 连 续, 触 发 = 上 升 沿 33 MHz 16 位 UDB, 单 次 触 发, 触 发 = 上 升 沿 33 MHz 24 位 UDB, 连 续, 触 发 = 任 一 沿 28 MHz 32 位 UDB, 连 续, 触 发 = 软 件 控 制 25 MHz 3. 对 于 所 有 配 置, 通 用 设 置 为 :Enable mode( 使 能 模 式 )= Software only( 仅 软 件 ),Capture mode( 捕 获 模 式 )= None( ),Interrupt( 中 断 )= On TC(TC 上 ) 4. 这 些 值 提 供 了 此 组 件 的 最 大 安 全 工 作 频 率 可 以 在 更 高 的 时 钟 频 率 运 行 此 组 件, 在 该 频 率 将 需 要 使 用 STA 结 果 验 证 时 序 要 求 页 34/36 文 档 编 号 :001-87205 修 订 版 *A

PSoC Creator 组 件 数 据 手 册 定 时 器 组 件 更 改 本 节 列 出 了 各 版 本 组 件 的 主 要 更 改 版 本 更 改 内 容 更 改 原 因 / 影 响 2.50.a 对 数 据 手 册 进 行 了 编 辑, 以 删 除 PSoC 5 的 引 用 使 用 PSoC5 LP 来 取 代 PSoC 5 2.50 更 新 了 数 据 手 册 和 PSoC 4 内 存 的 使 用 情 况 支 持 新 的 器 件 2.40 已 添 加 了 MISRA 合 规 性 章 节 该 组 件 没 有 任 何 特 定 偏 差 2.30 添 加 了 PSoC5 LP 支 持 更 新 了 自 定 义 程 序, 以 在 单 次 触 发 硬 件 使 能 模 式 中 移 除 弹 出 的 警 告 更 新 了 直 流 和 交 流 电 气 特 性 更 新 了 资 源 和 API 存 储 器 使 用 章 节 从 符 号 文 件 中 移 除 了 芯 片 修 订 版 枚 举 添 加 了 正 式 参 数 的 说 明 2.20 UDB 定 时 器 的 Verilog 更 改 修 复 一 个 问 题, 即 当 使 用 硬 件 使 能 信 号 时, 在 某 些 情 况 下 TC 输 出 会 丢 失 记 录 中 断 信 号 不 可 用 于 PSoC5 FF 定 时 器 此 功 能 已 移 除, 因 为 芯 片 不 支 持 此 功 能 更 新 了 自 定 义 程 序, 使 Cancel( 取 消 ) 按 键 始 终 可 用 广 泛 的 数 据 手 册 更 新 在 某 些 错 误 情 况 下,Cancel( 取 消 ) 按 键 不 可 用 定 时 器 的 各 个 实 现 (UDB PSoC 3 FF PSoC 5 FF) 各 有 不 同, 这 些 不 同 之 处 并 未 充 分 描 述 特 别 要 查 看 功 能 说 明 的 配 置 一 节 中 提 供 的 波 形 2.10 Verilog 更 新 和 自 定 义 程 序 相 关 的 更 新 用 触 发 逻 辑 修 复 小 问 题, 并 修 复 GUI 相 关 问 题 当 Capture Mode( 捕 获 模 式 ) 设 为 None ( ) 时, 禁 用 Interrupt on Capture ( 捕 获 中 断 方 式 ) 即 使 Capture Mode( 捕 获 模 式 ) 设 为 None ( ) 且 不 可 用 时, Interrupt on Capture ( 捕 获 中 断 方 式 ) 复 选 框 选 项 也 仍 然 可 用 2.0 同 步 的 输 入 所 有 输 入 都 是 在 模 块 的 输 出 处 的 固 定 功 能 实 现 中 同 步 的 Timer_GetInterruptSource() 函 数 转 换 为 宏 现 在 将 输 出 寄 存 到 组 件 时 钟 上 Timer_GetInterruptSource() 函 数 与 Timer_ReadStatusRegister() 函 数 具 有 完 全 相 同 的 实 现 为 了 节 省 代 码 空 间, 该 函 数 转 换 为 Timer_ReadStatusRegister() 函 数 的 宏 替 换 为 了 避 免 组 件 输 出 中 出 现 故 障, 需 要 将 所 有 输 出 同 步 如 果 可 能, 此 同 步 在 数 据 路 径 内 部 完 成, 以 避 免 过 多 使 用 资 源 文 档 编 号 :001-87205 修 订 版 *A 页 35/36

定 时 器 PSoC Creator 组 件 数 据 手 册 版 本 更 改 内 容 更 改 原 因 / 影 响 在 写 入 到 辅 助 控 制 寄 存 器 时 执 行 了 关 键 区 域 矫 正 了 错 误 的 掩 码, 同 时 使 用 SetCaptureMode() API 设 置 捕 获 模 式 当 写 入 辅 助 控 制 寄 存 器 时 使 用 CyEnterCriticalSection 和 CyExitCriticalSections 函 数, 以 便 它 不 会 被 任 何 其 他 进 程 线 程 修 改 用 于 设 置 捕 获 模 式 的 掩 码 值 错 误 向 数 据 手 册 中 添 加 了 特 性 数 据 对 数 据 手 册 进 行 了 少 量 编 辑 和 更 新 赛 普 拉 斯 半 导 体 公 司,2013 此 处, 所 包 含 的 信 息 可 能 会 随 时 更 改, 恕 不 另 行 通 知 除 赛 普 拉 斯 产 品 内 嵌 的 电 路 以 外, 赛 普 拉 斯 半 导 体 公 司 不 对 任 何 其 他 电 路 的 使 用 承 担 任 何 责 任 也 不 根 据 专 利 或 其 他 权 利 以 明 示 或 暗 示 的 方 式 授 予 任 何 许 可 除 非 与 赛 普 拉 斯 签 订 明 确 的 书 面 协 议, 否 则 赛 普 拉 斯 产 品 不 保 证 能 够 用 于 或 适 用 于 医 疗 生 命 支 持 救 生 关 键 控 制 或 安 全 应 用 领 域 此 外, 对 于 可 能 发 生 运 转 异 常 和 故 障 并 对 用 户 造 成 严 重 伤 害 的 生 命 支 持 系 统, 赛 普 拉 斯 不 授 权 将 其 产 品 用 作 此 类 系 统 的 关 键 组 件 若 将 赛 普 拉 斯 产 品 用 于 生 命 支 持 系 统, 则 表 示 制 造 商 将 承 担 因 此 类 使 用 而 招 致 的 所 有 风 险, 并 确 保 赛 普 拉 斯 免 于 因 此 而 受 到 任 何 指 控 PSoC 是 赛 普 拉 斯 半 导 体 公 司 的 注 册 商 标,PSoC Creator 和 Programmable System-on-Chip 是 赛 普 拉 斯 半 导 体 公 司 的 商 标 此 处 引 用 的 所 有 其 他 商 标 或 注 册 商 标 归 其 各 自 所 有 者 所 有 所 有 源 代 码 ( 软 件 和 / 或 固 件 ) 均 归 赛 普 拉 斯 半 导 体 公 司 ( 赛 普 拉 斯 ) 所 有, 并 受 全 球 专 利 法 规 ( 美 国 和 美 国 以 外 的 专 利 法 规 ) 美 国 版 权 法 以 及 国 际 条 约 规 定 的 保 护 和 约 束 赛 普 拉 斯 据 此 向 获 许 可 者 授 予 适 用 于 个 人 的 非 独 占 性 不 可 转 让 的 许 可, 用 以 复 制 使 用 修 改 创 建 赛 普 拉 斯 源 代 码 的 派 生 作 品 编 译 赛 普 拉 斯 源 代 码 和 派 生 作 品, 并 且 其 目 的 只 能 是 创 建 自 定 义 软 件 和 / 或 固 件, 以 支 持 获 许 可 者 仅 将 其 获 得 的 产 品 依 照 适 用 协 议 规 定 的 方 式 与 赛 普 拉 斯 集 成 电 路 配 合 使 用 除 上 述 指 定 的 用 途 外, 未 经 赛 普 拉 斯 的 明 确 书 面 许 可, 不 得 对 此 类 源 代 码 进 行 任 何 复 制 修 改 转 换 编 译 或 演 示 免 责 声 明 : 赛 普 拉 斯 不 针 对 此 材 料 提 供 任 何 类 型 的 明 示 或 暗 示 保 证, 包 括 ( 但 不 仅 限 于 ) 针 对 特 定 用 途 的 适 销 性 和 适 用 性 的 暗 示 保 证 赛 普 拉 斯 保 留 在 不 另 行 通 知 的 情 况 下 对 此 处 所 述 材 料 进 行 更 改 的 权 利 赛 普 拉 斯 不 对 此 处 所 述 之 任 何 产 品 或 电 路 的 应 用 或 使 用 承 担 任 何 责 任 对 于 合 理 预 计 可 能 发 生 运 转 异 常 和 故 障, 并 对 用 户 造 成 严 重 伤 害 的 生 命 支 持 系 统, 赛 普 拉 斯 不 授 权 将 其 产 品 用 作 此 类 系 统 的 关 键 器 件 若 将 赛 普 拉 斯 产 品 用 于 生 命 支 持 系 统, 则 表 示 制 造 商 将 承 担 因 此 类 使 用 而 导 致 的 所 有 风 险, 并 确 保 赛 普 拉 斯 免 于 因 此 而 受 到 任 何 指 控 产 品 使 用 可 能 受 适 用 的 赛 普 拉 斯 软 件 许 可 协 议 限 制 页 36/36 文 档 编 号 :001-87205 修 订 版 *A