z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

Similar documents
untitled

ebook122-3

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

Huawei Technologies Co

untitled

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向

A.68 B.70 C.80 D.100 答 案 A 解 析 丁 产 品 的 可 变 现 净 值 =110-2=108( 万 元 ), 成 本 =100+40=140( 万 元 ), 可 变 现 净 值 低 于 成 本, 产 品 发 生 的 减 值, 所 以 丙 材 料 的 可 变 现 净 值 =1

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的

优合会计考点直击卷子之财经法规答案——第八套

2 A

一、审计的分类


Microsoft Word - cjfg_jy0201.doc

山东2014第四季新教材《会计基础》冲刺卷第二套

北京2014年会计从业资格考试《会计基础》备考机试卷一

Microsoft Word - 第5-7章

考试大2011年高考试题答案

(黃).indd

bingdian001.com

山东2014第四季新教材《会计基础》冲刺卷第三套

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处

<443A5CD7C0C3E65CC8BAD7CAC1CF5C F73662E646F63>

( CIP. :, / ISBN D CIP ( ( 010) ( ) ( 010) / ( ) ( 010) 884

Microsoft Word 司考真?行政法勘?大表.doc

untitled

2013年3月国家教师资格统一考试

第一章.doc

HSK(基础)样题

Microsoft Word - FPGA的学习流程.doc

( 一 ) 全 面 贯 彻 党 和 国 家 的 教 育 方 针 政 策, 落 实 国 家 有 关 教 育 的 法 律 法 规 ; 研 究 草 拟 江 苏 省 教 育 法 规 和 政 策, 并 组 织 实 施 ( 二 ) 研 究 教 育 发 展 战 略 思 路, 统 筹 规 划 协 调 指 导 江 苏

14. 阿 亮 在 寒 假 春 節 期 間 與 父 母 到 一 座 廟 裡 拜 拜, 廟 裡 的 神 有 掌 生 死 簿 的 判 官 勾 攝 生 魂 的 黑 白 無 常 執 行 拘 提 魂 魄 的 牛 頭 馬 面, 整 間 廟 看 起 來 有 些 陰 森, 請 問 阿 亮 到 了 哪 一 座 廟 內

二O O七年湖南省会计从业资格考试试卷《会计基础》

ttian

! "#$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(& :;<<= > A B?

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

!"# $%& %!"# $%& %!"#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!"!#

<453A5CB8F7B7D6C9E7D4F0B1E05CBFBCCAD4B7D6C9E75CD5D4C3F7CFBC5CCAE9C4BFCEC4BCFE5CB7A8C2C9B3F6B0E6C9E7CBBEB7A8BFBCCAD4B7FECEF1D7A8BFAF2E646F6378>

9202reply-s.doc

科学计算的语言-FORTRAN95

<4D F736F F D B3F5BCB6BBE1BCC6A1B6BFBCB5E3BEABBBAAA1B72E646F63>

WinXP

! $%%&! (!"# $%%& $) * +, -. / 0 *-./ 0 /1 -!!!!!! 21.!!!!!! 31 /!!!!!! 41 0 $%%& )% $%%& 5 $%%& 6 $%%& $%%& ( #!! " #

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn

2013年国家司法考试模拟试卷与答案

事业单位《公共基础知识》全真模拟卷(三)及答案

ebook122-11

a b c d e f g C2 C1 2

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音

Ps22Pdf

Ps22Pdf

穨R _report.PDF

中華民國青溪協會第四屆第三次理監事聯席會議資料

Historical Fund Prices_TC_mt_2017.pdf

untitled

同 时 承 销 的 国 际 债 券 是 ( ) A. 龙 债 券 B. 外 国 债 券 C. 欧 洲 债 券 D. 亚 洲 债 券 10. 在 没 有 优 先 股 的 条 件 下, 普 通 股 票 每 股 账 面 价 值 是 以 公 司 ( ) 除 以 发 行 在 外 的 普 通 股 票 的 股 数

zt


bingdian001.com

VN-Cover

<453A5CC2EDC0F6C5C5B0E6CEC4BCFE5CC3F1B7A8A1A4C9CCB7A8A1A4C3F1CAC2CBDFCBCFB7A8D3EBD6D9B2C3D6C6B6C8D5AACEC4BCFE574F52445CB9D9B7BDD0DEB6A9B5E7D7D3B7FECEF1A3A8A1B6C3F1CBDFBDE2CACDA1B7BACDA1B6C1A2B7A8B7A8A1B7A3A92E646F63>

<4D F736F F D20AAF9A5ABAA41B0C8A4FEAFC5A7DEB34EA468A7DEAFE0C0CBA977B34EACECB4FAB8D5C0B3C0CBB0D1A6D2B8EAAEC6312E646F63>

(黃).indd

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 考 点 考 题 精 讲 依 据 最 新 颁 布 的 考 试 大 纲 的 要 求, 需 要 明 确 以 下 考 点 : 掌 握 新 股 公 开 发 行 和 非 公 开 发 行 的 基 本 条 件 一 般 规 定 配 股

untitled

, / / / 6 < / < / < : ; 7 5,, 8 8 6

zt

Microsoft Word ZLI12A0

D A B C D 7 A B C D 8 A B C D 9 A B C D 10 A. B. C.100% D. 11+ A. B. C. D. 12 A. B. C. D. 13 2

CC213

*33*!!! "!! #$! %#! "& "! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +# ( ) 0 $.# ( ) 0 $ # $! % "" " % 1 % & ( * ) * % " " %.! % 2!!"+# ( "&! " ( "#

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在



! "#$! " # $%%&#! ()*+, - %& - %.,/ - /!! ! " ! #0 $ % &0 123.! 4(5 $%%& %3 &$!!!!!!!!!!!!!!! % % - /&%.&.33!!! &! 3%% - 3 % -

99 cjt h 7. 0 (8 ) 0 () abc a b c abc0 aaa 0 a () bca abc0 aa0 a0 0 a0 abc a789 a b c (8 ) 9!

untitled

A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D N 1

光華高中104學年度第一學期二年級體育常識測驗題庫

Microsoft Word - 國文封面

程式人雜誌

zt

9301reply-c

《民国演义》第一册

,,,,,,,,,,,,, :,, ;,,,,, ( ),,,, : ( ) ; ( ) ; ( ) ( ) ; ( ) ( A ) ; ( ) ( ),,,,,,, 80

《米开朗琪罗传》

<4D F736F F D20C1E3B5E3CFC2D4D8C4A3B0E52E646F63>

点 考 题 精 讲 精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com

教 案 ( 首 页 ) 课 课 编 号 结 构 力 学 总 计 :80 学 时 名 称 学 分 5 其 中 : 类 别 必 修 课 ( ) 选 修 课 ( ) 理 论 课 ( ) 实 验 课 ( 讲 课 :80 学 时 ) 实 验 : 学 时 任 课 教 师 曹 志 翔 职 称 副 教

<4D F736F F D20B0EAA4E52D3939AEC9A8C6B1A1B9D2C344A5BB2E646F63>

!!! "#$ %"% " & ( ) * +,-.- " / 01 " 2 +,-.- +,1.- ( ) * "#$ " 34 " /5 6-6 "#

Ps22Pdf

六盘水人资社保发〔2013〕137号

( CIP) /. 2. :, 2004 (. ) ISBN G CIP ( 2004 ) : : : : : : 2 1 : : : 787mm 1092mm 16 : 7. 5 : 180 :

bingdian001.com

!"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11

!! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3

2006ÄêÈ«¹ú˶ʿÑо¿ÉúÈëѧ¿¼ÊÔÕþÖÎÀíÂÛÊÔÌâ¼°´ð°¸

(Microsoft Word A-C\244W\270\374\272\364\255\266.doc)

Transcription:

Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

2. 2. 2.1 2.1 z x 1 1 0 0 2.2 2.2 +/ +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z,? 0~7,x,X,z,Z,?,_,_ o O 0,1,x,X,z,Z,?, 0,1,x,X,z,Z,?, _ b B z _ MSB MSB x z 32 32 0 x z

2.3 10 0 0_1010(32 ) 4ac 10 10 h4ac 32 9 o671 9 110_111_001 10.2 1.2e12 9 o-671 9 1.2e-2 6 hf3 6 11_0011, 2e3 6h f 6 00_1111, 0 12_34.56_78_e12.12 3 b10x 3 10x 2. 12 h2x6 12 0010_xxxx_0110.3e2 6 hx 6 xx_xxxx, x 3. C \n \t Tab \\ \ \ddd %% ASCII % module test_string; reg [8*14:1] str_var; initial begin str_var= Hello world ; $display( display( %s is stored as %h, str_var,str_var); str_var={ ={str_var,!!!!!! }; $display( display( %s is stored as %h, str_var,str_var); end endmodule

4. 4.1 _bus1 \~( ~(a+b) 1. 1024 34net a*b_net 2. 0~9 $ 3. 4.2 $display,$write,$monitor $time,$realtime $finish,$stop $readmem 4.3 always,and assign begin case cmos default edge else end endmodule enent for if initial inout input integer nand negedge nor not or output posedge reg wait while wor xnor

1. assign (initial always) VerilogHDL ztriregx trireg wire,tri wor,trior wand,triand trireg tri1 tri0 supply1 supply0 1bit wire wire tri trireg DRAM DRAM pullup pulldown) 1 0

2. reg C initial always x reg integer real time 32 64 64 MUX module mux_str(out,a,b,sel); output out; initial always Gate2 Input a,b,sel; a net2 not gate1(net1,sel); Gate1 Gate4 integer realtime and gate2(net2,a,net); sel net1 and gate3(net3,b,sel); out integer or gate4(out,net2,net3); b net3 real endmodule Gate3 time reg reg not andorveriloghdl gatex netx

3. MUX module test_for_mux; reg a,b,sel; mux_str mux1(out,a,b,s); initial begin a=0;b=1;s=0; #10 a=1; #10 b=0; #10 s=1; #10 b=1; #10 a=0; #10 $finish end initial $monitor($time, a=%b b=%b s=%b out=%b,a,b,s,out,a,b,s,out); endmodule 1 wire a,b,c; reg d,e,f; 1 wire[7:0] bus_a,bus_b; reg[15:0] reg_d,reg_e; MSB LSB scalared reg scalared [7:0] reg_a; scalared vectored reg vectored [31:0] bus32; vectored parameter wordsize=16,memsize=1024 =16,memsize=1024 reg[wordsize-1:0] mem_ram[memsize- 1],write_reg,read_reg; write_reg=8 =8 b0001_1010; write_reg[2]=1 b1; // 2 write_reg[2:0]=3 b101; mem_ram[2]=8 b1000_1011;// b1000_1011;// 2

reg [7:0] a; reg b[7:0]; 1. parameter << > parameter msb=7,lsb=0,delay=2; 2. 3. verilog timescale << >/< > define << > << > define MSB 7 define LSB 0 define delay_and and #1 reg[ MSB: MSB: LSB] ] a; delay_and (x,y,z);

?:?: {} {} <<,>> <<,>> ==,!=,===,!== ==,!=,===,!== <,>,< <,>,<=,>= =,>=!,&&,!,&&, &,~&,,~,^,^~(~^) &,~&,,~,^,^~(~^) ~,&,,^,^~(~^) ~,&,,^,^~(~^) +, +,-,*,/,%,*,/,%!,~!,~ *,/,%,/,% +, +,- <<,>> <<,>> <,<=,>, <,<=,>,>= >= ==,!=,===,!== ==,!=,===,!== &,~& &,~& ^,~^ ^,~^,~,~ && &&?:?: 1. 1. +, +,-,*,/,%,*,/,% / 10 10 3 1 10 10 3 1 2. 2. 0 x

~ & 0&x 1&x 0 x 1 x ^ 0^x 1^x ~^(^~) a=4 b0100,b=4 b0100,b=4 b1111; b1111; ~a 1011 ~b 0000 a&b 0100 a b 1111 a^b 1011 a~^b 0100 a=4 b0110 &a 0 ~&a 1 a 1 ~ a 0 ^a 0 ~^a 1 4. (1) (0) && (&), ( ), ( ), (^), ~&,~,~^ ~ 1 1 0 0 a&&b a& &b

a=4 b0010,b=4 b0010,b=4 b0000b0000!a 0!b 1 a&&b 0 a b 1 5. 1 0 < > <= >= 6.!, &&, 01 1 0 (z) (x) (a>b)&&(x>y) a>b && x>y x (!a) (a>b)!a a>b!=: a=4 b0100,b=4 b0100,b=4 b1010 b1010 a<b 1 a>b 0 a<=b 1 a>=b 0

<< (x) (z) >> 0 10!== a=b=4 b0100,c=d=4 b0100,c=d=4 b10x0b10x0 a==b 1 c==d x a!=b 0 a===b 1 a!==b 0 c!=d x c===d 1 c!==d 0 7. module demo_shift; reg [4:1] reg_start,reg_stop; initial begin reg_start=4 =4 b1011; #100 reg_stop=reg_start reg_start<<2; #100 $display( before shift is %b,after shift is\ %b,reg_start,reg_stop); #100 finish; end endmodule before shift is 1011,after shift is 1100 8. {a,b,c,3 b101} b101} a,c a,c b4 9 {a,b[3],b[2],b[1],b[0],c,1 b1,1 b1,1 b0,1 b1} b1} {n{w}} {a,{3{b}},{2{c,d}}}

9. 10. Verilog HDL 0 xz < >?< >:< > assign tri_bus=(drv_enble)?data:16 =(drv_enble)?data:16 hzzzz module adder(...); wire [7:0] a,b,sum; assign sum=a+b>>1; endmodule module adder(...); wire [7:0] a,b,sum; assign sum=0+a+b>>1; endmodule VerilogHDL VerilogHDL