Microsoft Word - Ch04-1.doc

Size: px
Start display at page:

Download "Microsoft Word - Ch04-1.doc"

Transcription

1 順序邏輯 4-1 正反器 4-2 非同步計數器 4-3 同步計數器 4-4 利用 IC 模組製作計數器 4-5 微分電路 4-6 防彈跳電路 4-7 動動腦順序邏輯練習

2 組合邏輯電路的輸出各種狀態僅與其電路當時的輸入有關, 而順序邏輯電路則是目前輸入 輸出狀態皆影響下一個輸入輸出狀態 它比組合邏輯電路多了一個含有記憶元件的回授網路, 使它上一步的輸出結果會影響到下一步, 順序邏輯電路中, 除了邏輯閘電路外, 尚有一個很重要的元件, 就是正反器 (flip-flop, 簡稱 FF), 它是個雙穩態多諧振盪器, 輸出具有兩種穩定狀態 它有兩個輸出端, 分別輸出相反的狀態, 即當其一端輸出高態時, 另一端為其反相輸出成為低態 它是個具有記憶裝置的電路, 在一穩定狀態輸出下, 若沒有觸發信號使它改變輸出狀態, 它會維持此一固定狀態輸出 以下各節將分別介紹順序邏輯之基本及應用電路 4-1 正反器 RS 閂 (Latch) RS 閂可分成兩種結構, 一種是由 NAND 閘組成, 另一種則是由 NOR 閘組成 電路有兩輸入端, 分別命名 S 及 R,S 代表設置端 (Set),R 代表重置端 (Reset) 輸出端有兩個,Q 代表其輸出端, Q 表示為反相輸出端 其電路結構及真值表如下 : 1. NAND 閘組成電路及真值表 圖 NAND 閘組成 RS 閂電路 表 NAND 閘 RS 閂真值表一 S R Q n 不合邏輯 Q n 4-2

3 第 4 章 順序邏輯 表 NAND 閘 RS 閂真值表二 S R Q n Q n 不合邏輯 不合邏輯 由電路圖 可知, 兩 NAND 的輸出皆回授至另一個 NAND 閘的輸入, 此種結構稱 RS 閂 其中以 S 及 R 代表兩輸入端, 兩輸出端分別為輸出狀態 Q 及其反相輸出 Q 真值表一中,Q n 代表現在的輸出狀態,Q n+1 代表下一個狀態的輸出 當 S 及 R 兩輸入端皆為 0 時, 因為 NAND 閘只要有一輸入端為 0, 其輸出即為 1 故在此種情況下,Q 與 Q 皆變成 1, 而任一變數及該變數的反相不可能同時為 1, 所以此輸入狀態不合邏輯, 不可在電路中出現, 在使用上要避免用到此種情況 當 S=0,R=1 時,Q n+1 =0, 代表下一個狀態為 0 當 S=1,R=0 時,Q n+1 =1, 代表下一個狀態為 1 當 S=1,R=1 時,Q n+1 = Q n, 代表下一個狀態為與現在的狀態一樣, 維持不變 因此, 表 真值表的寫法也可寫成如表 真值表二的狀態 2. NOR 閘組成 圖 NOR 閘組成 RS 閂電路 4-3

4 表 NOR 閘 RS 閂真值表一 S R Q n Q n 不合邏輯 表 NOR 閘 RS 閂真值表二 S R Q n Q n 不合邏輯 不合邏輯 由電路圖 可知, 兩 NOR 的輸出皆回授至另一個 NOR 閘的輸入, 此種結構稱 RS 閂 其中以 S 及 R 代表兩輸入端, 兩輸出端分別為輸出狀態 Q 及其反相輸出 Q 真值表一中,Q n 代表現在的輸出狀態,Q n+1 代表下一個狀態的輸出 當 S 及 R 兩輸入端皆為 1 時, 因為 NOR 閘只要有一輸入端為 1, 其輸出即為 0 故在此種情況下,Q 與 Q 將變成 0, 而任一變數及該變數的反相不可能同時為 0, 所以此輸入狀態不合邏輯, 不可在電路中出現, 在使用上要避免用到此種情況 當 S=0,R=1 時,Q n+1 =0, 代表下一個狀態為 0 當 S=1,R=0 時, Q n+1 =1, 代表下一個狀態為 1 當 S=0,R=0 時,Q n+1 =Q n, 代表下一個狀態為與現在的狀態一樣, 維持不變 因此, 表 真值表一的寫法也可寫成如表 真值表二的狀態 4-4

5 第 4 章 順序邏輯 RS 正反器 在 RS 閂中, 當 R 與 S 狀態一有改變時, 輸出即會有相對應的變化, 而很難明確定出下一個狀態是何時 因此, 若在輸入端部份配合邏輯閘以及時脈 (Clock) 信號, 便能以一個時脈週期當成一個狀態的時間, 便有了 RS 正反器 1. 電路圖 2. 符號 圖 NOR 閘組成 RS 閂電路 3. 真值表 圖 表 RS 正反器真值表一 S R CK Q n+1 ψ ψ 0 Q n Q n 不合邏輯 4-5

6 表 RS 正反器真值表二 S R Q n CK Q n+1 ψ ψ ψ ψ 不合邏輯 不合邏輯 由電路圖 可知, 當 CK=0 時,AND 閘輸出為 0, 此時 NOR 閘 RS 閂的輸入為 00, 代表 Q n 狀態維持不變 只有當 CK=1 時,RS 閂才會隨著 R 與 S 的輸入改變狀態 此表示每次 CK=1 時, 正反器狀態改變一次 真值表一中, ψ(don t care) 代表可為 0 或 1, 即表示當 CK=0 時, 不管 R 與 S 狀態是 0 或 1, 輸出皆不受影響, 維持不變 當 CK=1 時, 則輸出有如一般之 RS 閂 表 真值表一的寫法也可寫成如表 真值表二的狀態 4. 特性方程式 (Characteristic equation) 由表 真值表二可得輸出端 Q n+1 與輸入 R,S,Q n 間布林函數的關係如下 : Q = RSQ + RSQ + n+ 1 n n RSQ n 經由化簡, 可知輸出的特性方程式如下 : Q n+ 1 SR = S + RQ = 0 n ( 其中 SR=0 表示 S 與 R 不可同時為 1, 因為會產生不合邏輯的現象 ) 5. 激勵表 : 由真值表可知輸入對輸出的狀態變化, 但若已知輸出的狀態變化情形, 想要推論輸入是什麼狀態, 則需激勵表 如表 所示為 RS 正反器之真值表, 由真值表可知當 Q n 變成 Q n+1 的狀態是由 0 變成 0 時, 這時的輸入 S 與 R 有兩 4-6

7 第 4 章 順序邏輯 種可能, 一是 SR=00, 一是 SR=01 由此可知, 只要 S=0, 不管 R 是 0 或 1, 當 Q n 為 0 時, 它的下一狀態 Q n+1 都會維持為 0 當 Q n 變成 Q n+1 的狀態是由 0 變成 1 時, 這時的輸入 S 與 R 只有一種可能, 就是 SR=10 由此可知, 只要 S=1, R 是 0, 當 Q n 為 0 時, 它的下一狀態 Q n+1 都會轉態為 1 當 Q n 變成 Q n+1 的狀態是由 1 變成 0 時, 這時的輸入 S 與 R 只有一種可能, 就是 SR=01 由此可知, 只要 S=0,R 是 1, 當 Q n 為 1 時, 它的下一狀態 Q n+1 都會轉態為 0 當 Q n 變成 Q n+1 的狀態是由 1 變成 1 時, 這時的輸入 S 與 R 有兩種可能, 一是 SR=00, 一是 SR=10 由此可知, 只要 R=0, 不管 S 是 0 或 1, 當 Q n 為 1 時, 它的下一狀態 Q n+1 都會維持為 1 由此便可求得 RS 正反器的激勵表 如表所示,Q n Q n+1 表示輸出端的狀態改變,0 0 表示輸出端 Q n =0 變成下一狀態時 Q n+1 =0, 以此類推 ψ(don t care) 表示其值可以是 0 或 1 而不影響結果 表 RS 正反器激勵表 Q n Q n+1 S R ψ ψ 0 表 RS 正反器之真值表三 S R Q n Q n 不合邏輯 不合邏輯 D 型正反器 將 RS 正反器之兩輸入端經由一個反閘 (NOT 閘 ) 連接而變成一個輸入端 D, 即成為 D 型正反器 其特性為當時脈 CK=1 時, 輸入若 D=0, 則輸出 Q n =0, 輸入若 D=1, 則輸出 Q n =1 4-7

8 1. 電路圖 圖 D 型正反器電路圖 2. 符號 圖 真值表 表 D 型正反器之真值表一 D CK Q n+1 ψ 0 Q n 表 D 型正反器之真值表二 D Q n CK Q n+1 ψ ψ 特性方程式 由真值表知, 其下一狀態之輸出 Q n+1 與輸入 D 及現在狀態 Q n 之布林函數為 : Q n+1 =D 4-8

9 第 4 章 順序邏輯 5. 激勵表由真值表可知當 Q n 變成 Q n+1 的狀態是由 0 變成 0 時,D 一定是 0, 當 Q n 變成 Q n+1 的狀態是由 0 變成 1 時,D 一定是 1, 當 Q n 變成 Q n+1 的狀態是由 1 變成 0 時,D 一定是 0, 當 Q n 變成 Q n+1 的狀態是由 1 變成 1 時,D 一定是 1 由此可得如表 D 型正反器激勵表 表 D 型正反器激勵表 Q n Q n+1 D JK 正反器 將 RS 正反器之兩輸入端經由兩個三輸入及閘 (AND 閘 ) 連接即可變成如圖之 JK 正反器 其特性比 RS 正反器多了一個恆變 ( 輸出為輸入之反相 ) 的功能 為當時脈 CK=1 時, 輸入若 JK=00, 則輸出維持不變 Q n+1 =Q n, 輸入若 JK=01, 則輸出 Q n+1 =0, 輸入若 JK=10, 則輸出 Q n+1 =1, 輸入若 JK=11, 則輸出變成恆變 Q n+1 = Q 1. 電路圖 n 2. 符號 圖 JK 正反器電路圖 圖

10 3. 真值表 表 JK 正反器真值表一 J K CK Q n+1 ψ ψ 0 Q n Q n Q n 表 JK 正反器真值表二 J K Q n CK Q n+1 ψ ψ ψ ψ 特性方程式由表 真值表二可知下一狀態之輸出 Q n+1 與輸入 J,K 及現在狀態 Q n 之布林函數為 : Qn +1 = J KQn + J KQn + J KQ + JKQn 經由化簡可得特性方程式 : Qn +1 = JQn + KQn 5. 激勵表如表 所示為 JK 正反器之真值表, 由真值表可知當 Q n 變成 Q n+1 的狀態是由 0 變成 0 時, 這時的輸入 J 與 K 有兩種可能, 一是 JK=00, 一是 JK=01 由此可知, 只要 J=0, 不管 K 是 0 或 1, 當 Q n 為 0 時, 它的下一狀態 Q n+1 都會維持為 0 當 Q n 變成 Q n+1 的狀態是由 0 變成 1 時, 這時的輸入 J 與 K 有兩種可能, 一是 JK=10, 一是 JK=11 由此可知, 只要 J=1, 不管 K 是 0 或 1, 當 Q n 4-10

11 第 4 章 順序邏輯 為 0 時, 它的下一狀態 Q n+1 都會轉態為 1 當 Q n 變成 Q n+1 的狀態是由 1 變成 0 時, 這時的輸入 J 與 K 有兩種可能, 一是 JK=01, 一是 JK=11 由此可知, 只要 K=1, 不管 J 是 0 或 1, 當 Q n 為 1 時, 它的下一狀態 Q n+1 都會轉態為 1 當 Q n 變成 Q n+1 的狀態是由 1 變成 1 時, 這時的輸入 J 與 K 有兩種可能, 一是 JK=00, 一是 JK=10 由此可知, 只要 K=0, 不管 J 是 0 或 1, 當 Q n 為 1 時, 它的下一狀態 Q n+1 都會維持為 1 由此便可求得 JK 正反器的激勵表 表 JK 正反器激勵表 Q n Q n+1 J K ψ ψ 1 0 ψ ψ T 型正反器 將 JK 正反器之兩輸入端 J 與 K 連接在一起變成單一輸入端, 稱為 T 型正反器, 此 T 型正反器只有兩種狀態, 當 T=0 時, 輸出維持不變, 下一個輸出狀態與現在狀態相同,Q n+1 =Q n 當 T=1 時, 輸出為恆變, 下一個輸出狀態與現在狀態相反,Q n+1 = Q n 1. 電路圖 圖 T 型正反器電路圖 2. 符號 圖

12 3. 真值表 表 T 型正反器真值表一 T CK Q n+1 ψ 0 Q n 0 1 Q n 1 1 Q n 表 T 型正反器真值表二 T Q n CK Q n+1 ψ ψ 特性方程式由表 真值表二可知下一狀態之輸出 Q n+1 與輸入 T 及現在狀態 Q n 之布林函數為 : Q n+1 = TQ n + TQn Q n+1 = T Q n 5. 激勵表由表 真值表二可知當 Q n 變成 Q n+1 的狀態是由 0 變成 0 時,T 一定是 0, 當 Q n 變成 Q n+1 的狀態是由 0 變成 1 時,T 一定是 1, 當 Q n 變成 Q n+1 的狀態是由 1 變成 0 時,T 一定是 1, 當 Q n 變成 Q n+1 的狀態是由 1 變成 1 時,T 一定是 0 由此可得如表 激勵表 表 T 型正反器激勵表 Q n Q n+1 T

13 第 4 章 順序邏輯 邊緣觸發之 RS 正反器 由上述真值表可知, 當時序信號為 1( 高態 ) 時, 正反器電路輸出狀態會隨輸入改變, 但當時序信號的高態若維持很久, 則很難得知正反器輸出狀態改變幾次 在順序邏輯中, 基本上希望時序信號產生一次高態時, 正反器輸出只改變一次, 因此有了邊緣觸發 (edge triggering) 的正反器, 即正反器在時序信號狀態改變時才觸發, 輸出才隨著改變 當時序信號由 0( 低態 ) 變成 1( 高態 ) 時, 正反器輸出狀態改變者稱正緣觸發 (positive edge triggering), 當時序信號由 1 變成 0 時, 正反器輸出狀態改變者稱負緣觸發 (negative edge triggering) 圖 (1) 正緣觸發之 RS 正反器符號為在時脈輸入端 (CK) 處多一個三角形, 負緣觸發則是又多了一個圓圈, 用以代表反相 (2) 正緣觸發之 RS 正反器符號 (3) 負緣觸發之 RS 正反器符號 圖 圖

14 圖 正緣觸發之 RS 正反器電路圖如圖 所示, 在 CLK 時脈輸入 RS 正反器前, 事先經過微分電路, 使得輸入時脈僅在瞬間維持為 1( 高態 ), 正反器在該瞬間轉態, 即為正緣觸發之 RS 正反器 將輸入時脈接上反相器再輸入微分電路則可成為負緣觸發之 RS 正反器, 上述介紹之 D 型正反器 JK 正反器 T 型正反器也都可變成邊緣觸發之正反器 可預設 清除之正反器 由於順序邏輯電路, 常需用到預設 (Preset) 與清除 (Clear) 功能, 所以一般正反器通常都有此功能 在所有輸入控制端中, 此兩者具有最高優先權 其分成兩種, 圖 表高態動作之正反器, 動作如真值表所示, 當 CLR=1 時,Q n =0, 當 PR=1,Q n =1, 若兩者同時為 1 時, 則視 PR 與 CLR 何者優先, 若 PR 優先則為 1,CLR 優先則為 0 圖 表低態動作之正反器, 動作如真值表所示, 當 CLR=0 時,Q n =0, 當 PR=0,Q n =1, 若兩者同時為 0, 則視 PR 與 CLR 何者優先, 若 PR 優先則為 1,CLR 優先則為 0 圖 可預設 清除之正反器符號 表 可預設 清除之正反器真值表 PR CLR Q n Q n 依優先次序決定 4-14

15 第 4 章 順序邏輯 圖 可預設 清除之正反器符號 表 可預設 清除之正反器真值表 PR CLR Q n 依優先次序決定 Q n 4-2 非同步計數器 正反器可用來做計數器 (counter) 電路, 一個正反器可產生兩種輸出狀態,n 個正反器產生 2 n 種輸出狀態 利用這種依序輸出不同狀態的特性可用來當計數器使用 在這計數器中, 若是所有正反器的時脈輸入端是接在一起, 則表示這些正反器是同時做輸出轉態動作, 稱之為同步計數器 (synchronous counter ) 反之若是這些正反器的時脈輸入端未接在一起, 而是由一個正反器的輸出端接到另一個正反器的時脈輸入端, 表示前面正反器動作完成後, 後面正反器才有反應, 並未同時動作, 稱之為非同步計數器 (asynchronous counter ), 因為是前一個正反器推動後一個正反器, 有如漣漪般散開, 又稱之為漣波 (ripple) 計數器 每個正反器從時脈輸入觸發到輸出產生變化需要一段時間, 稱為傳遞延遲時間 (tp, propagation delay time) 或簡稱延遲時間 因為非同步計數器的正反器是由前一個正反器的輸出來觸發, 因此輸出信號所需的延遲時間為正反器延遲時間的累加, 所以速度較慢, 但硬體線路簡單, 若有 n 個正反器, 其傳遞延遲時間最長為 n tp, 其最大工作頻率 fmax = 1/(ntp) 而同步計數器的每一個正反器是由同一個時脈信號同時觸發, 因此輸出信號所需的延遲時間為一個正反器的延遲時間, 所以傳送速度較快, 但相對的硬體線路較為複雜 計數器的應用很廣泛, 如頻率 週期及時間方面測量 以下將先介紹各種非同步計數器 4-15

16 4-2-1 JK 正反器之計數器 由表 4-2-1JK 正反器之真值表可知, 若 J=1,K=1, 且下一個時脈來時, 輸出的狀態會與現在的狀態相反 Q n+1 = Q n 如圖 所示, 為 JK 正反器之 J K 輸入端接高態 (H, 即邏輯 1), 當輸入時脈 CLK 之波形輸入, 則將產生相對輸出波形如 Q n 若使用負緣觸發, 每一個時脈的負緣來時, 促使正反器轉態一次, 而每兩個時脈負緣將使正反器輸出一個高態及一個低態, 即一個脈波 由此可知,JK 正反器此時變成一個除頻電路, 輸出頻率為輸入時脈頻率的 1/2 表 JK 正反器真值表 J K CK Q n+1 ψ ψ 0 Q n Q n Q n 圖 JK 正反器之 J=K=1 時之波形圖 圖 JK 正反器之 J=K=1 時之電路圖利用 1 個正反器電路可產生兩種輸出狀態 (0,1), 稱之為 Mode 2 ( 或 MOD 2) 電路, 把它當成除頻電路則其功能可以除 2 若是利用兩個正反器則可產生四種輸出狀態 (Q 1 Q 0 可為 00,01,10,11), 稱之為 Mode 4 ( 或 MOD 4) 電路, 把它當成除頻電路則其功能可以除 4 依此類推, 利用 n 個正反器則可產生 2 n 種輸出狀態, 稱之為 Mode 2 n ( 或 MOD 2 n ) 電路, 把它當成除頻電路則其功能可以除 2 n 除頻 4-16

17 第 4 章 順序邏輯 電路亦可用來當計數器使用, 一個正反器的除頻電路相當於一位元之計數器, 有兩種狀態, 可產生 0,1 之計數 ; 二個正反器的除頻電路相當於二位元之計數器, 有四種狀態, 可產生 00,01,10,11 之輸出, 即 0,1,2,3 之二進制計數器 ;n 個正反器的除頻電路相當於 n 位元之計數器有 2 n 種狀態, 可產生 0,1,2,3,.,2 n -1 之二進制計數器 而且, 並非只能產生 2 n 之二進制計數器, 若是使用 n 個正反器, 只要配合正反器清除 (CLR) 預置(PRS) 及適當邏輯電路, 就可產生任何 2 n 種狀態以下的計數器 一 MOD16 上數計數器使用 4 個正反器可產生 16 種狀態, 可用來當 0~15 的二進制計數器 當產生的計數器是由 0,1,2,3, 由小而大依序往上計數者稱為上數計數器 (up counter ), 製作上數計數器之正反器需用負緣觸發, 如此才能剛好在前面正反器波形一轉態時, 觸發後面正反器做上數之動作 反之若是由大而小依序往下計數者, 稱為下數計數器 ( down counter ), 下數計數器則需使用正緣觸發之正反器 以下將介紹 MOD16 上數計數器的作法 圖 MOD 16 上數計數器電路圖 如圖 所示經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 MOD16 上數計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 如圖 所示, 利用 Symbol Enter Symbol 叫出 Enter Symbol 視窗, 輸入 jkff 以叫出 JK 正反器 (JK flip-flop) 因為此為正緣觸發, 要做上數計數器需用負緣觸發, 所以時脈輸入端前面需再接反閘 (NOT), 依照前述方法畫出電路圖 4-17

18 圖 元件取用視窗 2. 存檔, 取檔名為 mod 16up.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 32μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此計數器輸出有 4 個, 可計數 0~15, 總共有 2 4 =16 種變化, 當我們設 Grid=1μs 時, 一個時脈信號需要高態及低態各 1μs, 共需 2μs, 故計數 0~15 需 16 個時脈, 總共需 2μs 16 = 32μs 才完成模擬 ) 4-18

19 第 4 章 順序邏輯 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod 16up.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 輸入端有五支腳, 總共有三十二種組合, 剛好 32μs 可模擬完成, 可用計數時脈完成輸入信號編輯 4-19

20 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 可點選 Q[3..0], 按滑鼠右鍵選擇 Ungroup, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD16 上數計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 圖 模擬結果視窗 另外補充一點 : 利用 調整大小, 可看出在有很多時間點有出現漣波未 定態 ( 如 3μs 時的上下振盪 ), 這是因為非同步計數器並非同時由同一個時脈 輸入信號觸發, 因此其會有不同正反器產生的延遲觸發間距的缺點, 這種現 象在同步計數器則不會出現 4-20

21 第 4 章 順序邏輯 圖 模擬結果視窗 圖 模擬波形設定 解除群組化 圖 模擬結果視窗模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表腳位對應關係輸入輸出名稱 CLK Q0 Q1 Q2 Q3 4-21

22 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 實驗器可選擇使用 CLK 1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q3 二 MOD16 下數計數器使用 4 個正反器可產生 16 種狀態, 可用來當 0~15 的二進制計數器 當產生的計數器是由 15,14,13,,0 由大而小依序往下計數者稱為 MOD16 下數計數器 ( down counter ), 下數計數器需使用正緣觸發之正反器 以下將介紹 MOD16 下數計數器的作法 圖 MOD16 下數計數器電路圖如圖 所示經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 MOD16 下數計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 如圖 所示, 利用 Symbol Enter Symbol 叫出 Enter Symbol 視窗, 輸入 jkff 以叫出 JK 正反器 (JK flip-flop) 此為正緣觸發, 要做下數計數器需用正緣觸發, 所以時脈輸入端前面無需再接反閘 (NOT), 此與上數計數器不同, 依照前述方法畫出電路圖 4-22

23 第 4 章 順序邏輯 圖 元件取用視窗 2. 存檔, 取檔名為 mod 16 down.gdf 3. 設為指定工作專案 File Project Set Project to Current File, 指定 CPLD 晶片 Assign Device, 並編譯 MAX+PLUSⅡ Compiler 如圖所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 32μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此計數器輸出有 4 個, 可計數 0~15, 總共有 2 4 =16 種變化, 當我們設 Grid=1µs 時, 一個時脈信號需要高態及低態各 1μs, 共需 2μs, 故計數 0~15 需 16 個時脈, 總共需 2μs 16 = 32μs 才完成模擬 ) 4-23

24 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod 16 down.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 輸入端有五支腳, 總共有三十二種組合, 剛好 32μs 可模擬完成, 可用計數時脈完成輸入信號編輯 4-24

25 第 4 章 順序邏輯 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD16 下數計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表腳位對應關係輸入輸出名稱 CLK Q0 Q1 Q2 Q3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 4-25

26 實驗器可選擇使用 CLK 1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q T 型正反器之計數器 由 JK 正反器所做的計數器, 主要是用到當 J=1,K=1, 在下一個時脈來時, 輸出的狀態會與現在的狀態相反 Q n+1 = Q n 此種功能 T 型正反器亦有具備, 當 T 型正反器之輸入信號 T=1 時, 在下一個時脈來時, 輸出的狀態亦會與現在的狀態相反 Q n+1 = Q n 因此,JK 正反器能當計數器,T 型正反器當然也行 以下將介紹以 T 型正反器完成計數器之方法 表 T 型正反器真值表 T CK Q n+1 ψ 0 Q n 0 1 Q n 1 1 Q n 1. MOD16 上數計數器 圖 MOD 16 上數計數器電路圖 如圖 所示經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 如圖 所示, 利用 Symbol Enter Symbol 叫出 Enter Symbol 視窗, 輸入 tff 以叫出 T 型正反器 (T flip-flop) 因 4-26

27 第 4 章 順序邏輯 為此為正緣觸發, 要做上數計數器需用負緣觸發, 所以時脈輸入端前面需再接反閘 (NOT), 依照前述方法畫出電路圖 圖 元件取用視窗 2. 存檔, 取檔名為 mod 16up.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 32μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此計數器輸出有 4 個, 可計數 0~15, 總共有 2 4 =16 種變化, 當我們設 Grid=1μs 時, 一個時脈信號需要高態及低態各 1μs, 共需 2µs, 故計數 0~15 需 16 個時脈, 總共需 2μs 16 = 32μs 才完成模擬 ) 4-27

28 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod 16up.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 總共有三十二種組合, 剛好 32 μs 可模擬完成, 可用計數時脈完成輸入信號編輯 圖 儲存檔案視窗 4-28

29 第 4 章 順序邏輯 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start), 結果如圖 所示, 可點選 Q[3..0], 按滑鼠右鍵選擇 Ungroup, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD16 上數計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 圖 模擬結果視窗另外補充一點 : 利用調整大小, 與上一單元的 JK 正反器之計數器相同情況, 在有很多時間點有出現漣波未定態 ( 如 3μs 時的上下振盪 ), 這是因為非同步計數器並非同時由同一個時脈輸入信號觸發, 因此其會有不同正反器產生的延遲觸發間距的缺點, 這種現象在同步計數器則不會出現 4-29

30 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係輸入輸出名稱 CLK Q0 Q1 Q2 Q3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 實驗器可選擇使用 CLK 1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q3 (2)MOD16 下數計數器 圖 MOD16 下數計數器電路圖如圖 所示經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 如圖 所示, 利用 Symbol Enter Symbol 叫出 Enter Symbol 視窗, 輸入 tff 以叫出 T 型正反器 (T flip-flop) 因為此為正緣觸發, 要做下數計數器需用正緣觸發, 所以時脈輸入端前面不需如上數計數器接反閘 (NOT), 依照前述方法畫出電路圖 4-30

31 第 4 章 順序邏輯 圖 元件取用視窗 2. 存檔, 取檔名為 mod 16 down.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 32μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此計數器輸出有 4 個, 可計數 0~15, 總共有 2 4 =16 種變化, 當我們設 Grid=1μs 時, 一個時脈信號需要高態及低態各 1μs, 共需 2μs, 故計數 0~15 需 16 個時脈, 總共需 2μs 16 = 32μs 才完成模擬 ) 4-31

32 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod 16up.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 總共有三十二種組合, 剛好 32 μs 可模擬完成, 可用計數時脈完成輸入信號編輯 圖 儲存檔案視窗 4-32

33 第 4 章 順序邏輯 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start), 結果如圖 所示, 可點選 Q[3..0], 按滑鼠右鍵選擇 Ungroup, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD16 下數計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公 司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係 輸入 輸出 名稱 CLK Q0 Q1 Q2 Q3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 實驗器可選擇使用 CLK 1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q3 4-33

34 4-3 同步計數器 正反器所做的計數器中, 若是所有正反器的時脈輸入端是接在一起, 由同一個時脈信號輸入各別的正反器中, 則表示這些正反器是同時做輸出轉態動作, 稱之為同步計數器 (synchronous counter ), 其總延遲時間為一個正反器的時間 tp, 其最大工作頻率 fmax = 1/tp 同步計數器雖有速度較快之優點, 其缺點則為硬體線路設計較為複雜 要讓正反器之輸出正常計數, 則需對其輸入端設計適當輸入電路 ; 在此可利用正反器之激勵表, 在預知輸出的狀態下 ( 即計數器的正常計數 ), 去推測該有怎樣的輸入, 以下將分別以 JK 正反器及 D 型正反器為例介紹 Jk 正反器 MOD16 要製作 MOD16 之同步計數器, 則需有四個正反器 2 4 =16 要完成 MOD16 上數計數器電路, 可知其 Q 3 Q 2 Q 1 Q 0 輸出依序為 0000,0001,0010, 1111( 由 0~15 依序二進制計數 ), 配合 激勵表, 可預知其輸入 J 3 K 3,J 2 K 2,J 1 K 1,J 0 K 0 如表 所示 表 JK 正反器激勵表 Q n Q n+1 J K ψ ψ 1 0 ψ ψ

35 第 4 章 順序邏輯 表 MOD 16 之同步計數器 計數 Q 3 Q 2 Q 1 Q 0 J 3 K 3 J 2 K 2 J 1 K 1 J 0 K ψ 0 ψ 0 ψ 1 ψ ψ 0 ψ 1 ψ ψ ψ 0 ψ ψ 0 1 ψ ψ 1 ψ ψ 1 ψ ψ ψ 0 0 ψ 1 ψ ψ ψ 0 1 ψ ψ ψ ψ 0 ψ 0 1 ψ ψ ψ 1 ψ 1 ψ ψ 0 0 ψ 0 ψ 1 ψ ψ 0 0 ψ 1 ψ ψ ψ 0 0 ψ ψ 0 1 ψ ψ 0 1 ψ ψ 1 ψ ψ 0 ψ 0 0 ψ 1 ψ ψ 0 ψ 0 1 ψ ψ ψ 0 ψ 0 ψ 0 1 ψ ψ 1 ψ 1 ψ 1 ψ 由輸出是由 1 0, 查激 2. 在 J 0 K 0 填入 ψ1 勵表以推測輸入 J 0 K 0 應為 ψ1 四個 JK 正反器之輸入分別為 J 3 K 3 J 2 K 2 J 1 K 1 J 0 K 0 其輸出分別為 Q 3 Q 2 Q 1 Q 0 其中 J 3 K 3 的輸入影響 Q 3 的輸出,J 2 K 2 的輸入影響 Q 2 的輸出,J 1 K 1 的輸入影響 Q 1 的輸出,J 0 K 0 的輸入影響 Q 0 的輸出 因此, 可由激勵表來判斷 J 3 K 3 J 2 K 2 J 1 K 1 J 0 K 0 輸入應為何 由表可知,Q 0 的輸出依序為 0 1,1 0, 0 1,.,1 0 對照 JK 正反器之激勵表, 可得 J 0 K 0 之輸入依序為 1ψ,ψ1, 1ψ,.,ψ1 Q 1 的輸出依序為 0 0,0 1,1 1,1 0.,1 0 對照 JK 正反器之激勵表, 可得 J 1 K 1 之輸入依序為 0ψ,1ψ,ψ0,ψ1,.,ψ1 Q 2 的輸出依序為 0 0,0 0,0 0,0 1.,1 0 對照 JK 正反器之激勵表, 可得 J 2 K 2 之輸入依序為 0ψ,0ψ,0ψ,1ψ,.,ψ1 Q 3 的輸出依序為 0 0, 0 0,0 0,0 0.,1 0 對照 JK 正反器之激勵表,J 3 K 3 可得之輸入依序為 0ψ,0ψ,ψ0,0ψ,.,ψ1 應用此方式, 依序填完 0~15 之計數各狀態 4-35

36 表 MOD16 之同步計數器 計數 Q 3 Q 2 Q 1 Q 0 J 3 K 3 J 2 K 2 J 1 K 1 J 0 K ψ 0 ψ 0 ψ 1 ψ ψ 0 ψ 1 ψ ψ ψ 0 ψ ψ 0 1 ψ ψ 1 ψ ψ 1 ψ ψ ψ 0 0 ψ 1 ψ ψ ψ 0 1 ψ ψ ψ ψ 0 ψ 0 1 ψ ψ ψ 1 ψ 1 ψ ψ 0 0 ψ 0 ψ 1 ψ ψ 0 0 ψ 1 ψ ψ ψ 0 0 ψ ψ 0 1 ψ ψ 0 1 ψ ψ 1 ψ ψ 0 ψ 0 0 ψ 1 ψ ψ 0 ψ 0 1 ψ ψ ψ 0 ψ 0 ψ 0 1 ψ ψ 1 ψ 1 ψ 1 ψ 由表 經由卡諾圖分別化簡 J 3 K 3 ;J 2 K 2 ;J 1 K 1 ;J 0 K 0 所得之布林代數如下所示 : J 3 = Q 2 Q 1 Q 0 K 3 = Q 2 Q 1 Q 0 J 2 = Q 1 Q 0 K 2 = Q 1 Q 0 J 1 = Q 0 K 1 = Q 0 J 0 = 1 K 0 = 1 有了四個正反器的各輸入端之布林函數, 便可依此繪製電路圖 4-36

37 第 4 章 順序邏輯 圖 MOD 16 同步上數計數器電路圖 如圖 所示經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 MOD16 同步上數計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 如圖 所示, 將所有正反器的輸入時脈接在一起, 再接到一個時脈輸入端, 因為 J 2 = Q 1 Q 0 =K 2, 所以將 Q 1 Q 0 接到一個 AND 閘再接到 J 2 及 K 2, 而 J 3 = Q 2 Q 1 Q 0 = K 3, 因此可將 J 2 (= Q 1 Q 0 ) 及 Q 2 接到一個 AND 閘再接到 J 3 及 K 3, 其它依述前面介紹方法畫出電路圖 2. 存檔, 取檔名為 mod 16 up.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4-37

38 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 32μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此計數器輸出有 4 個, 可計數 0~15, 總共有 2 4 =16 種變化, 當我們設 Grid=1μs 時, 一個時脈信號需要高態及低態各 1μs, 共需 2μs, 故計數 0~15 需 16 個時脈, 總共需 2μs 16= 32μs 才完成模擬 ) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod 16up.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 總共有三十二種組合, 剛好 32 μs 可模擬完成, 可用計數時脈完成輸入信號編輯 4-38

39 第 4 章 順序邏輯 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD16 上數計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 4-39

40 另外補充一點 : 利用調整大小, 與上一單元之非同步計數器比較下, 我們可知在同步計數器的輸出中, 並未發現在非同步計數器出現的漣波未定態, 這是因為同步計數器是同時由同一個時脈輸入信號觸發, 因此不會有不同正反器產生的延遲觸發間距的缺點, 這也是同步計數器的優點之一 圖 模擬結果視窗模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表腳位對應關係輸入輸出名稱 CLK Q0 Q1 Q2 Q3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 實驗器可選擇使用 CLK 1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1,Q2,Q JK 正反器 MOD10 要製作 MOD10 之同步計數器, 需有四個正反器, 因為 2 3 =8, 使用三個正反器最多只能有八種狀態, 無法表示 0~9 的十種狀態 (MOD10), 而 2 4 =16, 可以計數 0~15, 可以選擇 10~15 的六種狀態不使用, 因此可用來完成計數 0~9 的 MOD10 計數器 要完成 MOD10 上數計數器電路, 可知其 Q 3 Q 2 Q 1 Q 0 輸出依序為 0000,0001,0010, 1001( 由 0~9 依序二進制計數 ), 配合表 激勵表, 可知其輸入 J 3 K 3, J 2 K 2, J 1 K 1,J 0 K 0 應如表 所示 4-40

41 第 4 章 順序邏輯 表 JK 正反器激勵表 Q n Q n+1 J K ψ ψ 1 0 ψ ψ 0 表 MOD10 之同步計數器 計數 Q 3 Q 2 Q 1 Q 0 J 3 K 3 J 2 K 2 J 1 K 1 J 0 K ψ 0 ψ 0 ψ 1 ψ ψ 0 ψ 1 ψ ψ ψ 0 ψ ψ 0 1 ψ ψ 1 ψ ψ 1 ψ ψ ψ 0 0 ψ 1 ψ ψ ψ 0 1 ψ ψ ψ ψ 0 ψ 0 1 ψ ψ ψ 1 ψ 1 ψ ψ 0 0 ψ 0 ψ 1 ψ ψ 1 0 ψ 0 ψ ψ 四個 JK 正反器之輸入分別為 J 3 K 3 J 2 K 2 J 1 K 1 J 0 K 0 其輸出分別為 Q 3 Q 2 Q 1 Q 0 其中 J 3 K 3 的輸入影響 Q 3 的輸出,J 2 K 2 的輸入影響 Q 2 的輸出,J 1 K 1 的輸入影響 Q 1 的輸出,J 0 K 0 的輸入影響 Q 0 的輸出 因此, 可由激勵表來判斷 J 3 K 3 J 2 K 2 J 1 K 1 J 0 K 0 輸入應為何 由表可知,Q 0 的輸出依序為 0 1,1 0, 0 1,.,1 0 對照 JK 正反器之激勵表, 可得 J 0 K 0 之輸入依序為 1ψ,ψ1, 1ψ,.,ψ1 Q 1 的輸出依序為 0 0,0 1,1 1,1 0.,0 0 對照 JK 正反器之激勵表, 可得 J 1 K 1 之輸入依序為 0ψ,1ψ,ψ0,ψ1,.,0ψ Q 2 的輸出依序為 0 0,0 0,0 0,0 1.,0 0 對照 JK 正反器之激勵表, 可得 J 2 K 2 之輸入依序為 0ψ,0ψ,0ψ,1ψ,.,0ψ Q 3 的輸出依序為 0 0, 0 0,0 0,0 0.,1 0 對照 JK 正反器之激勵表,J 3 K 3 可得之輸入依序為 0ψ,0ψ,ψ0,0ψ,.,ψ1 應用此方式, 依序填完 0~9 之計數各狀態, 而不會出現的 ( 計數 10~15) 狀態, 在化簡過程中視為 ψ(don t care) 4-41

42 由表 經由卡諾圖分別化簡 J 3 K 3 ; J 2 K 2 ; J 1 K 1 ;J 0 K 0 後可得布林代數如下所示 : J 3 = Q 2 Q 1 Q 0 K 3 = Q 0 J 2 = Q 1 Q 0 K 2 = Q 1 Q 0 J 1 = Q 3 Q 0 K 1 = Q 3 Q 0 J 0 = 1 K 0 = 1 有了四個正反器的各輸入端之布林函數, 便可依此繪製電路圖 圖 MOD10 同步上數計數器電路圖 如圖 所示經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 MOD10 同步上數計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖 2. 存檔, 取檔名為 mod 10up.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 4-42

43 第 4 章 順序邏輯 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 20μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此每一個時脈計數一次, 一個時脈需有 2μs( 高態及低態各 1μs), 計數 0~9, 總共有 20 種變化, 所以需 20μs ) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod 10up.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 可用計數時脈完成輸入信號編輯 4-43

44 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD10 上數計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 4-44

45 第 4 章 順序邏輯 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係輸入輸出名稱 CLK Q0 Q1 Q2 Q3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 實驗器可選擇使用 CLK 1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q D 型正反器 MOD16 除了 JK 正反器可製作同步計數器,D 型正反器亦可 以 D 型正反器製作 MOD16 之同步計數器, 同樣需有四個正反器 2 4 =16 要完成 MOD16 上數計數器電路, 可知其 Q 3 Q 2 Q 1 Q 0 輸出依序為 0000,0001,0010, 1111( 由 0~15 依序二進制計數 ), 配合激勵表, 可預知輸入 D 3 D 2 D 1 D 0 如表所示 表 D 型正反器激勵表 Q n Q n+1 D 四個 D 型正反器之輸入分別為 D 3 D 2 D 1 D 0 其輸出分別為 Q 3 Q 2 Q 1 Q 0 其中 D 3 的輸入影響 Q 3 的輸出, D 2 的輸入影響 Q 2 的輸出,D 1 的輸入影響 Q 1 的輸出, D 0 的輸入影響 Q 0 的輸出 因此, 可由激勵表來判斷 D 3 D 2 D 1 D 0 輸入應為何 由表可知,Q 0 的輸出依序為 0 1,1 0,0 1,.,1 0 對照 D 型正反器之激勵表, 可得 D 0 之輸入依序為 1,0,1,,0 Q 1 的輸出依序為 0 0,0 1, 1 1,1 0.,1 0 對照 D 型正反器之激勵表, 可得 D 1 之輸入依序為 0,1, 1,0,.,0 Q 2 的輸出依序為 0 0,0 0,0 0,0 1.,1 0 對照 D 型正反器之激勵表, 可得 D 2 之輸入依序為 0,0,0,1,.,0 Q 3 的輸出依序為 4-45

46 0 0,0 0,0 0,0 0.,1 0 對照 D 型正反器之激勵表,D 3 可得之輸入依序為 0,0,0,0,.,0 應用此方式, 依序填完 0~15 之計數各狀態 表 D 型正反器之 MOD 16 同步計數器 計數 Q 3 Q 2 Q 1 Q 0 D 3 D 2 D 1 D 由表 經由卡諾圖化簡後可得 D 3 D 2 D 1 D 0 之布林代數如下所示 : D 3 = (Q 2 Q 1 Q 0 ) Q 3 D 2 = Q 1 Q 0 Q 2 D 1 = Q 0 Q 1 D 0 = Q0 有了四個正反器的各輸入端之布林函數, 便可依此繪製電路圖 4-46

47 第 4 章 順序邏輯 圖 MOD 16 同步上數計數器電路圖 如圖 所示經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 MOD10 同步上數計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 如圖 所示, 將所有正反器的輸入時脈接在一起, 再接到一個時脈輸入端, 因為 J 2 = Q 1 Q 0 =K 2, 所以將 Q 1 Q 0 接到一個 AND 閘再接到 J 2 及 K 2, 而 J 3 = Q 2 Q 1 Q 0 = K 3, 因此可將 J 2 (= Q 1 Q 0 ) 及 Q 2 接到一個 AND 閘再接到 J 3 及 K 3, 其它依述前面介紹方法畫出電路圖 2. 存檔, 取檔名為 mod 16up.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 32μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此計數器輸出有 4 個, 可計數 0~15, 總共有 2 4 =16 種變化, 當我們設 Grid=1μs 時, 一個時脈信號需要高態及低態各 1μs, 共需 2μs, 故計數 0~15 需 16 個時脈, 總共需 2μs 16 = 32μs 才完成模擬 ) 4-47

48 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod 16up.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 總共有三十二種組合, 剛好 32 μs 可模擬完成, 可用計數時脈完成輸入信號編輯 圖 儲存檔案視窗 4-48

49 第 4 章 順序邏輯 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start), 結果如圖 所示, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD16 上數計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗另外補充一點 : 利用調整大小, 與上一單元之 JK 正反器做的同步計數器比較下, 我們可知一樣是同步計數器, 不管是 D 型或 JK 正反器所完成, 皆不會如非同步計數器出現漣波未定態的現象 因為只要是同步計數器, 皆是同時由同一個時脈輸入信號觸發, 因此不會有不同正反器產生的延遲觸發間距的缺點, 這與用什麼正反器所製作的計數器無關 圖 模擬結果視窗 4-49

50 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係輸入輸出 名稱 CLK Q0 Q1 Q2 Q3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 實驗器可選擇使用 CLK 1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q D 型正反器 MOD 使用正反器可以製作計數器, 只要正反器夠多, 要計數到多大應該都沒有問題 理論上雖是如此, 但若要計數到很大的數字, 使用的正反器數目一多, 要設計還是不容易 例如要設計 MOD32768 的計數器, 光是真值表要寫 種狀態, 畫起來的圖表是相當驚人, 所以若是按照上述方法去做是很差的做法 因此, 應該觀察計數狀態少的計數器以找出規則, 再照規則去做, 則可得較為簡易之方法 如下所示為使用 D 型正反器製作之 MOD16 電路之各輸入端之布林函數 D 3 = (Q 2 Q 1 Q 0 ) Q 3 D 2 = Q 1 Q 0 Q 2 D 1 = Q 0 Q 1 D 0 = Q 0 由上可知若要設計 MOD 2 同步計數器可使用一個 D 型正反器, 且輸入 D 0 = Q 0 ; 若要設計 MOD 4 同步計數器可使用二個 D 型正反器, 且輸入 D 0 =Q 0, D 1 = Q 0 Q 1 ; 若要設計 MOD 8 同步計數器可使用三個 D 型正反器, 且輸入 D 0 = Q 0,D 1 = Q 0 Q 1,D 2 = Q 1 Q 0 Q 2 ; 若要設計 MOD16 同步計數器可使用四個 D 型正反器, 且輸入 D 0 =Q 0 ;D 1 = Q 0 Q 1,D 2 = Q 1 Q 0 Q 2,D 3 = (Q 2 Q 1 Q 0 ) Q 3 依此類推, 可知若要設計 MOD 32 同步計數器可使用五個 D 型正反器, 且輸入 D 0 =Q0;D 1 = Q 0 Q 1,D 2 = Q 1 Q 0 Q 2, D 3 = (Q 2 Q 1 Q 0 ) Q 3,D 4 = (Q 3 Q 2 Q 1 Q 0 ) Q 3 以此方法類推, 可得使用 n 個 D 型正反器製作 MOD 2 n 4-50

51 第 4 章 順序邏輯 同步計數器之各輸入端布林函數 在電路方面, 第一個 D 型正反器可直接由輸出端接一反閘拉回輸入端以及經由互斥或閘輸入到第二個正反器 ; 第二個正反器輸出與第一個正反器輸出經由及閘送入第三個正反器輸入端前的互斥或閘 由圖 可觀察得知, 第二個正反器輸出端之後的邏輯組合皆是由一個及閘加上一個互斥或閘 再加上一個正反器所組成, 所以很明顯的我們可以將這一部份做成一個元件 (symbol), 製作正反器之計數器時, 重覆使用該元件即可得到高計數值之計數器, 以下將以 MOD 為例 圖 MOD16 同步上數計數器電路圖製造計數器元件 (symbol): 1. 開啟一個新圖形編輯檔, 繪製一個計數器元件的電路圖, 即第二個正反器輸出端之後的邏輯組合, 由一個及閘加上一個互斥或閘 再加上一個正反器所組成 2. 存檔, 取檔名為 d.gdf 3. 編譯 4-51

52 圖 計數器元件電路圖 4. 產生符號檔, 點選 File Create Default Symbol, 即可產生新的元件 ( 名稱 d.sym) 圖 執行製作自製元件視窗 5. 開啟新的圖形編輯檔, 此時即可取用所製作的元件 (d.sym), 點選 Symbol Enter Symbol( 或在編輯視窗按兩下 Double Click), 出現零件取用視窗 圖 元件取用視窗 6. 取用元件 (symbol): 進入剛才存放計數器元件的資料夾, 點選元件檔 (Symbol File) d, 按 OK, 在圖形編輯視窗中, 即可看到該元件 ( 包裝後的計數器 ) 可在計數器元件上按兩下, 即可開啟其內部電路視窗 4-52

53 第 4 章 順序邏輯 圖 計數器自製元件及其內部電路圖要以自製的計數器元件 (symbol) 製作 MOD 計數器元件時, 步驟如下 : 1. 建立一個新資料夾, 取名 d_mod 32768, 複製事先製妥計數器元件的圖形檔 (d.gdf) 以及元件檔 (d.sym) 至該資料夾 2. 執行 MAX+PLUSⅡ 軟體, 開啟新的圖形編輯檔, 叫出儲存 d_mod 資料夾的計數器元件檔 d.sym, 利用它配合計數器前面電路完成 MOD 計數器繪圖, 存檔於 d_mod 資料夾內 如圖 所示, 在此取名 MOD 計數器圖形檔案名稱為 mod gdf ( 因為電路圖甚大, 讀者若在書本上看不清楚, 可在隨書附贈光碟的 d_mod 資料夾內找到此檔 ) 4-53

54 圖 元件取用視窗 圖 MOD 計數器電路圖 4-54

55 第 4 章 順序邏輯 圖 儲存檔案視窗 3. File Project Set Project to Current File 4. 編譯 5. 產生符號檔, 點選 File Create Default Symbol, 即可產生新的元件 (symbol) (MOD32768 計數器, 名稱 mod 32768)( 檔名 :mod sym) 6. 開啟新的圖形編輯檔, 此時即可取用所製作的元件 (mod32768), 點選 Symbol Enter Symbol, 按確定後, 即出現元件取用視窗, 選擇剛才所建立之新資料夾 d_mod32768 即可發現已產生一個新的元件檔 mod32768.sym, 選擇此元件即可在圖形編輯視窗中使用它 4-55

56 圖 元件取用視窗 圖 自製元件 MOD 計數器 4-56

57 第 4 章 順序邏輯 設計 MOD 計數器完成後, 要知道其是否可正常執行, 可執行模擬功能測試 模擬步驟如下 : 1. 在開啟編輯好的 MOD32768 計數器 d_mod32768.gdf 檔案, 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 2. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 ms, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此輸入端有 1 支腳, 輸出端有 15 支腳, 共有 16 支腳, 每支腳有兩種狀態 (0 與 1), 總共有 種變化 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 3. 儲存檔案 (Save As), 檔名 mod32768.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 共有 65536μs, 剛好可計數 0 至 32767, 可用計數時脈完成輸入信號編輯 4-57

58 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 4. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD32768 計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 4-58

59 第 4 章 順序邏輯 圖 模擬結果視窗模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表腳位對應關係輸入輸出名稱 CLK Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 DG4 DG5 DG6 DG7 腳位對應關係 輸出 名稱 Q8 Q9 Q10 Q11 Q12 Q13 Q14 CPLD 晶片腳位 實驗器模組對應腳位 DR0 DR1 DR2 DR3 DR4 DR5 DR6 實驗器可選擇使用 CLK 1 輸入端, 選擇由石英晶體振盪輸入時脈 32768Hz 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0~7 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q3, Q4,Q5, Q6, Q7 第 0~6 個紅色 LED 接腳當輸出端 Q8,Q9, Q10, Q11, Q12,Q13, Q14 其中第 7 個紅色 LED DR6 接腳 Q14 之輸出將為 1Hz 4-59

60 4-4 利用 IC 模組製作計數器 製作計數器時, 除了使用正反器可達成外, 也可利用計數器 IC 模組來達成, 現有的計數器 IC 有很多種, 如 TTL 7493 的 MOD16 計數器 7492 的 MOD12 計數器 7490 的 MOD10 BCD 計數器, 利用 IC 配合邏輯閘可組成各種計數器 在 MAX+PLUSⅡ 裡, 可以直接叫出這些 IC 模組, 將它編輯成計數器同樣可下載到 CPLD 中使用, 相當方便, 以下將介紹作法 MOD16 計數器 利用 TTL 7493 計數器 IC 來製作 MOD 16 計數器時, 按照實際硬體電路製作之方法設計線路,7493 IC 有一 MOD 2 及 MOD 8 之計數器, 將 MOD 2 計數器輸出送到 MOD8 計數器之輸入, 可得 MOD 16 計數器 清除控制腳 (Ro0 及 Ro1) 需接地才不會使其產生清除歸零的動作, 設計好後繪製電路圖, 即可完成計數器製作 圖 MOD 16 計數器電路圖如圖 所示, 經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 7493MOD 16 計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 如圖 所示, 利用 Symbol Enter Symbol 叫出 Enter Symbol 視窗, 輸入 7493 以叫出計數器 IC 7493 模組, 按照設計繪製電路圖 4-60

61 第 4 章 順序邏輯 圖 元件取用視窗 2. 新建 7493_mod16 資料夾後, 存檔, 取檔名為 mod16.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 32μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此計數器輸出有 4 個, 可計數 0~15, 總共有 2 4 =16 種變化, 當我們設 Grid=1μs 時, 一個時脈信號需要高態及低態各 1μs, 共需 2μs, 故計數 0~15 需 16 個時脈, 總共需 2μs 16 = 32µs 才完成模擬 ) 4-61

62 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod16up.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 總共有三十二種組合, 剛好 32 μs 可模擬完成, 可用計數時脈完成輸入信號編輯 圖 儲存檔案視窗 4-62

63 第 4 章 順序邏輯 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 可點選 Q[3..0], 按滑鼠右鍵選擇 Ungroup, 可分離得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD16 計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 圖 模擬結果視窗補充一點 : 利用調整大小, 與上一單元的非同步計數器相同情況, 在有很多時間點有出現漣波未定態 ( 如 3μs 時的上下振盪 ), 這是因為在 7493 IC 電路設計是前面計數器輸出推動後面計數器輸入的漣波計數方式, 因此其會有不同計數器產生的延遲觸發間距的缺點, 這電路是屬非同步計數器 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 4-63

64 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係 輸入 輸出 名稱 CLK Q0 Q1 Q2 Q3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 實驗器可選擇使用 CLK1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q MOD10 計數器 利用 TTL 7490 計數器 IC 來製作 MOD 10 計數器時, 直接按照實際硬體電路製作之方法設計線路,7490IC 有一 MOD 2 及 MOD 5 之計數器, 將 MOD 2 計數器輸出送到 MOD 5 計數器之輸入, 可得 MOD 10 計數器 清除控制腳 (CLRA 及 CLRB) 以及設定控制腳 (SET 9A 及 SET 9B) 需接地才不會使其產生清除歸零或設定為 1 的動作, 設計好後繪製電路圖, 即可完成計數器製作 圖 MOD 10 計數器電路圖如圖 所示, 經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 7490MOD 10 計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 如圖 所示, 利用 Symbol Enter Symbol 叫出 Enter Symbol 視窗, 輸入 7490 以叫出計數器 IC 7490 模組, 按 4-64

65 第 4 章 順序邏輯 照設計繪製電路圖 圖 元件取用視窗 2. 新建 7490_mod10 資料夾後, 存檔, 取檔名為 mod10.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 20μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此為 MOD10 計數器, 每個計數脈波有兩種狀態 (0 與 1), 總共有 20 種變化 ) 4-65

66 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod10.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 計數 0~9 十種狀態, 剛好 20μs 可模擬完成, 可用計數時脈完成輸入信號編輯 圖 儲存檔案視窗 4-66

67 第 4 章 順序邏輯 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 可點選 Q[3..0], 按滑鼠右鍵選擇 Ungroup, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD10 計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 補充一點 : 與上一單元的非同步計數器相同情況, 在有很多時間點有出現 漣波未定態 ( 如 3μs 時的上下振盪 ), 這是因為在 7490 IC 與 7493 是相同的方式, 是前面計數器輸出推動後面計數器輸入的漣波計數方式, 因此其會有不同計數 器產生的延遲觸發間距的缺點, 這電路亦是屬非同步計數器 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公 司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係 輸入 輸出 名稱 CLK Q0 Q1 Q2 Q3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 或 CLK2 DG0 DG1 DG2 DG3 4-67

68 實驗器可選擇使用 CLK1 或 CLK2 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q MOD 6 計數器 TTL 7490 計數器 IC 除了可製作 MOD10 計數器, 也可製作 MOD10 以下的各種計數器, 以下介紹使用其控制腳設定 (SET) 及清除 (CLEAR) 來製作 MOD6 計數器 設計技巧與實際硬體電路製作之方法一樣,7490 IC 有一 MOD 2 及 MOD 5 之計數器, 將 MOD 2 計數器輸出送到 MOD 5 計數器之輸入, 可得 MOD 10 計數器 在其計數 Q D Q C Q B Q A 為 0000~0101 (0~5) 時為正常計數, 當計數值數到 0110 (6, 第 7 種狀態 ) 時, 則給予清除控制腳 (CLRA 及 CLRB) 接收 1 信號, 達到清除使輸出 Q D Q C Q B Q A 為 0000 設定控制腳(SET9A 及 SET9B) 需接地才不會使其產生設定為 1 的動作, 如此便完成設計好後繪製電路圖, 即可完成 MOD 6 計數器製作 圖 MOD6 計數器電路圖如圖 所示, 經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 7490MOD 6 計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 利用 Symbol Enter Symbol 叫出 Enter Symbol 視窗, 輸入 7490 以叫出計數器 IC 7490, 按照設計繪製電路圖 4-68

69 第 4 章 順序邏輯 2. 新建 7490_mod6 資料夾後, 存檔, 取檔名為 mod6.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 12μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此為 MOD 6 計數器, 每個計數脈波有兩種狀態 (0 與 1), 總共有 6 2 = 12 種變化 ) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 4-69

70 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod6.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 計數 0~5 六種狀態, 剛好 12μs 可模擬完成, 可用計數時脈完成輸入信號編輯 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 4-70

71 第 4 章 順序邏輯 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 可點選 Q[3..0], 按滑鼠右鍵選擇 Ungroup, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD 6 計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 補充一點 : 與上一單元的 MOD10 計數器相同情況, 在有很多時間點有出 現漣波未定態 ( 如 3μs 時的上下振盪 ), 因為其電路設計是前面計數器輸出推動 後面計數器輸入的漣波計數方式, 因此這電路亦是屬非同步計數器 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公 司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係 輸入 輸出 名稱 CLK Q0 Q1 Q2 Q3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 實驗器可選擇使用 CLK1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3 個綠色 LED 接腳當輸出端 Q0,Q1, Q2, Q MOD 60 計數器 一個 TTL 7490 計數器 IC 可製作 MOD 10 計數器, 兩個 IC 串級後則可製作 MOD 100 以下的各種計數器, 以下介紹使用其控制腳設定 (SET) 及清除 (CLEAR) 來製作 MOD 60 計數器 設計技巧與實際硬體電路製作之方法一樣, 按照上述方法設計 MOD 10 及 MOD 6 計數器, 將 MOD 10 計數器輸出送到 MOD 6 計數器之輸入, 即可得 MOD 60 計數器 如圖 所示,M 3 M 2 M 1 M 0 為低位元的個位數,MD 3 MD 2 MD 1 MD 0 為高位元的十位數 4-71

72 圖 MOD60 計數器電路圖如圖 所示, 經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 7490 MOD 60 計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 如圖 所示, 利用 Symbol Enter Symbol 叫出 Enter Symbol 視窗, 輸入 7490 以叫出計數器 IC 7490, 按照設計繪製電路圖 2. 新建 7490_mod60 資料夾後, 存檔, 取檔名為 mod60.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4-72

73 第 4 章 順序邏輯 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 120 μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此為 MOD 60 計數器, 每個計數脈波有兩種狀態 (0 與 1), 總共有 120 種變化 ) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod60.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 計數 0~59 六十種狀態, 剛好 120 μs 可模擬完成, 可用計數時脈完成輸入信號編輯 4-73

74 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 可點選 MD[3..0] 及 M[3..0], 按滑鼠右鍵選擇 Ungroup, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD 60 計數器, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 4-74

75 第 4 章 順序邏輯 圖 模擬結果視窗與上一單元的 MOD 6 計數器相同情況, 在有很多時間點有出現漣波未定態, 此電路亦是屬非同步計數器 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表腳位對應關係輸入輸出名稱 CLK M0 M1 M2 M3 MD0 MD1 MD2 MD3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 DG4 DG5 DG6 DG7 實驗器可選擇使用 CLK1 或 CLK2 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3,4,5,6,7 等 8 個綠色 LED 接腳當輸出端 M0;M1;M2; M3;MD0;MD1;MD2;MD MOD 24 利用 TTL 7490 計數器 IC 製作 MOD24 計數器, 先將兩個 MOD10 計數器電路串級後, 製作 MOD100 計數器, 再利用邏輯閘使其一計數到 24 時立即使清除 (CLEAR) 腳為 1, 使計數清除歸零 即可達成 0~23 計數之 MOD24 計數器 如圖 所示,H 3 H 2 H 1 H 0 為低位元的個位數,HD 3 HD 2 HD 1 HD 0 為高位元的十位數 當計數器計數到 24 時, 個位數的 7490 輸出 H 3 H 2 H 1 H 0 為 0100, 十位數的 7490 輸出 HD 3 HD 2 HD 1 HD 0 為 0010, 此時 H2 及 HD1 經由 AND 閘輸出 1, 其連接到兩個 7490 的清除控制端, 而使計數器清除為 0, 繼續 0~23 之計數 4-75

76 圖 MOD24 計數器電路圖如圖 所示, 經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 軟體模擬 規劃腳位 編譯 下載燒錄 ( 若是 Atmel 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 製作 7490 MOD 24 計數器步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖, 利用 Symbol Enter Symbol 叫出 Enter Symbol 視窗, 輸入 7490 以叫出計數器 IC 7490, 按照設計繪製電路圖 2. 新建 7490_mod24 資料夾後, 存檔, 取檔名為 mod24.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4-76

77 第 4 章 順序邏輯 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 48, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) ( 因為在此為 MOD24 計數器, 每個計數脈波有兩種狀態 (0 與 1), 總共有 48 變化 ) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 mod60.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號, 計數 0~23 計二十四種狀態, 剛好 48μs 可模擬完成, 可用計數時脈完成輸入信號編輯 4-77

78 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 可點選 HD[3..0] 及 H[3..0], 按滑鼠右鍵選擇 Ungroup, 可得各輸出端波形圖 模擬沒有錯誤及警告, 所得波形模擬結果符合 MOD 24 計數器, 代表我們製作的電路是正確可用的 4-78

79 第 4 章 順序邏輯 圖 模擬結果視窗 圖 模擬結果視窗與上一單元的 MOD 60 計數器相同情況, 在有很多時間點有出現漣波未定態, 此電路亦是屬非同步計數器 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表腳位對應關係輸入輸出名稱 CLK H0 H1 H2 H3 HD0 HD1 HD2 HD3 CPLD 晶片腳位 實驗器模組對應腳位 CLK1 DG0 DG1 DG2 DG3 DG4 DG5 DG6 DG7 實驗器可選擇使用 CLK1 輸入端, 可選擇由石英晶體振盪輸入時脈 32768Hz 或 RC 振盪電路 ( 調整 VR1 可改變頻率 ) 84 之 CPLD 其時脈輸入接腳必須用第 83, 而 44 之 CPLD 則需用第 43 在此需以 83 接腳當時脈輸入端, 第 0,1,2,3,4,5,6,7 個綠色 LED 接腳當輸出端 H0;H1;H2;H3;HD0;HD1; HD2;HD3 之輸出 4-79

80 4-5 微分電路 在使用正反器之時, 有時會用到前緣觸發及後緣觸發的功能, 主要是要觸發時間只在時脈狀態改變之時, 而非整個時脈週期都可觸發 因為此電路只在時脈由 1 變 0 或由 0 變 1 時產生一極小週期的觸發信號, 類似電路之微分作用, 故稱微分電路 前微分電路 如圖 所示為前微分電路之輸出入波形圖, 對照圖 電路圖, 其原理為只有當輸入信號由 0 變 1 之時, 第一個 D 型正反器輸出 1, 第二個正反器仍保留上一個狀態 0 輸出之時, 輸出端 f_diff 才會輸出 1, 而且只維持 1 個時脈週期, 當下一個時脈週期時, 兩個正反器輸出皆為 1, 此時 f_diff 又回復 0 之狀態, 在此可藉由時脈週期 (CLOCK) 來調整輸出 f_diff 之高態時間長短 圖 前微分電路波形示意圖 圖 前微分電路圖製作前微分電路步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖 2. 新建 f_diff6 資料夾後, 存檔, 取檔名為 f_diff.gdf 4-80

81 第 4 章 順序邏輯 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 16μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 4-81

82 5. 儲存檔案 (Save As), 檔名 f_diff.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 模擬沒有錯誤及警告, 所得波形模擬結果符合前微分電路, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 4-82

83 第 4 章 順序邏輯 後微分電路 如圖 所示為後微分電路之輸出入波形圖, 對照圖 電路圖, 其原理為只有當輸入信號由 1 變 0 之時, 第一個 D 型正反器輸出 0, 第二個正反器仍保留上一個狀態 1 輸出之時, 輸出端 b_diff 才會輸出 1, 而且只維持 1 個時脈週期, 當下一個時脈週期時, 兩個正反器輸出皆為 0, 此時 b_diff 又回復 0 之狀態, 在此可藉由時脈週期 (CLOCK) 來調整輸出 b_diff 之高態時間長短 圖 後微分電路波形示意圖 圖 後微分電路圖製作後微分電路步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖 2. 新建 b_diff6 資料夾後, 存檔, 取檔名為 b_diff.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 4-83

84 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 16μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 b_diff.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號 4-84

85 第 4 章 順序邏輯 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 模擬沒有錯誤及警告, 所得波形模擬結果符合後微分電路, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 4-85

86 4-5-3 前後微分電路 如圖 所示為前後微分電路之輸出入波形圖, 對照圖 之電路圖, 其原理為前微分電路及後微分電路的整合, 當輸入信號由 1 變 0 或由 0 變 1 之時, 第一個 D 型正反器輸出 0( 或 1), 第二個正反器仍保留上一個狀態 1( 或 0) 輸出之時, 輸出端 fb_diff 會輸出 1, 而且只維持 1 個時脈週期, 當下一個時脈週期時, 兩個正反器輸出皆為 0( 或 1), 此時 fb_diff 又回復之狀態 0, 在此可藉由時脈週期 (CLOCK) 來調整輸出 fb_diff 之高態時間長短 圖 前後微分電路波形示意圖 圖 前後微分電路圖製作前後微分電路步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖 2. 新建 fb_diff6 資料夾後, 存檔, 取檔名為 fb_diff.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 4-86

87 第 4 章 順序邏輯 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 16μs, 設定格線間距 (Options Grid Size) 設定 1μs, 顯示在視窗中適當大小格線 (View Fit in Window) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 fb_diff.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號 4-87

88 圖 儲存檔案視窗 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 模擬沒有錯誤及警告, 所得波形模擬結果符合後微分電路, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 4-88

89 第 4 章 順序邏輯 4-6 防彈跳電路 設計電路時, 若是有使用到機械式的開關, 就不能不考慮的一個重要問題就是開關的彈跳問題 機械式的開關每當開或關之時, 由於內部彈簧的慣性, 會導致在該瞬間產生多次彈跳, 進而影響電路的正確性, 因此, 防彈跳電路常是電路穩不穩定的一個重要關鍵 本防彈跳電路利用正反器與邏輯閘組合而成, 設計的觀念在於一般開關的彈跳現象大概只會維持在 30 毫秒以下, 所以利用二個 D 型正反器檢查此開關的現在狀態及 30 毫秒前的那個狀態, 若同時為 0( 或 1), 則可假設其開關穩定在 0( 或 1); 若是有一為 1, 有一為 0 則表示開關正處於彈跳狀態, 我們希望開關穩定在 0 時能輸出 0, 穩定在 1 時能輸出 1, 而處於彈跳時則輸出不能跟著變, 而要保持原來狀態, 其真值表如表 所示,SW n 表示第一個 D 型正反器的輸出,SW n+1 表示第二個 D 型正反器的輸出且其值為第一個 D 型正反器延遲 30ms 之輸出 ( 因為兩個 D 型正反器接成移位暫存器, 時脈信號週期為 30ms) 當 SW n SW n+1 =00 時, 我們希望輸出 Q n+1 為 0, 表示狀態是未按鍵且穩定 ; 當 SW n SW n+1 =01 時, 我們希望輸出 Q n+1 為維持原來狀態而不改變, 即因現在狀態是彈跳狀態, 我們不希望輸出跟著彈跳 ; 當 SW n SW n+1 =10 時, 我們希望輸出 Q n+1 為維持原來狀態而不改變, 即因現在狀態彈跳狀態, 我們不希望輸出跟著彈跳 當 SW n SW n+1 =11 時, 我們希望輸出 Q n+1 為 1, 表示狀態是未按鍵且穩定 ; 表 防彈跳電路真值表 SW n SW n+1 Q n+1 備註 未按鍵且穩定 0 1 Qn 彈跳狀態 1 0 Qn 彈跳狀態 按鍵且穩定 30ms 取樣一次 4-89

90 圖 防彈跳電路圖製作防彈跳電路步驟如下 : 1. 開啟新圖形編輯檔, 繪製邏輯電路圖 2. 新建 debounce 資料夾後, 存檔, 取檔名為 debounce.gdf 3. 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 如圖 所示, 編譯後沒有錯誤與警告, 表示邏輯正確, 可進行軟體模擬 圖 編譯訊息視窗 4. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time) 設定 90ms, 設定格線間距 (Options Grid Size) 設定 1ms, 顯示在視窗中適當大小格線 (View Fit in Window) 圖 開啟新檔視窗 4-90

91 第 4 章 順序邏輯 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 5. 儲存檔案 (Save As), 檔名 debounce.scf, 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入信號 圖 儲存檔案視窗 4-91

92 圖 輸出入節點選擇視窗 6. 執行模擬 (MAX+PLUSⅡ Simulator,Start) 如圖 所示, 模擬沒有錯誤及警告, 所得波形模擬結果符合防彈跳電路, 代表我們製作的電路是正確可用的 圖 模擬結果視窗 4-92

93 第 4 章 順序邏輯 4-7 動動腦順序邏輯練習 1. 請依自行製作元件方法製作前微分電路之元件 2. 請依自行製作元件方法製作後微分電路之元件 3. 請依自行製作元件方法製作前後微分電路之元件 4. 請依自行製作元件方法製作防彈跳電路之元件 5. 請設計能每按一次鍵上數 1 之四位元上數計數器, 並由七段顯示器表示出來 4-93

94 4-94

Microsoft Word - Ch03-2.doc

Microsoft Word - Ch03-2.doc 3-3 減法器 有了加法的運算, 當然也要有減法運算 二進制的減法運算為 0-0=0 0-1=-1 1-0=1 1-1=0; 其中 0-1=-1 中的 -1 無法用二進制的 0 與 1 兩個數字表示, 一般用兩個位元表示, 一個是借位 (Borrow), 一個是差 (Difference) 當輸入端有兩個變數, 產生一個差與借位輸出的電路結構, 稱為半減器 (Half Subtractor; HS)

More information

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 圖形編輯設計法 圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 建立電路符號檔 1.執行 File Create/ Update Create Symbol Files for Current File 時 可將目前的半加法器建立一個電路符號 Halfadd.bsf 3 建立電路符號檔 2.利用 File Open 開啟Halfadd.bsf檔案時 便可查看所建 立的電路符號 Halfadd

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

Microsoft PowerPoint - chap05.ppt

Microsoft PowerPoint - chap05.ppt 第五章同步序向邏輯 5- 序向電路 同步時脈序向電路 5-2 閂鎖器 SR 閂鎖器 (SR Latch): 由 NOR 閘所構成之 SR 閂鎖器 由 NAND 閘所構成之 SR 閂鎖器 2 具有控制輸入之 SR 閂鎖器 D 型閂鎖器 (D Latch) 3 閂鎖器之符號圖 5-3 正反器 閂鎖器和正反器之時脈響應 4 邊緣觸發 D 型正反器 (Edge- Triggered D Flip-Flop)

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

Microsoft Word - CH01-02.doc

Microsoft Word - CH01-02.doc 目錄 序言 第一篇組合邏輯基本概念與軟 / 硬體工具的認識 第一章組合邏輯的基礎概念 一 基本邏輯閘的真值表及其特性... 1-3 二 基本邏輯閘的相互取代... 1-6 三 邏輯電路的化簡... 1-9 第二章 CPLD 組合邏輯電路設計與軟 / 硬體模擬 QUARTUS II 軟體 數位邏輯設計認證 CPLD 燒錄實習板 一 QUARTUS II 環境的認識... 2-3 二 建立一個 CPLD

More information

<4D F736F F D BEC7A67EABD7B2CEA440A44ABEC7B4FAC5E728B8EAB971C3FEB14DB77EACECA5D8A44729>

<4D F736F F D BEC7A67EABD7B2CEA440A44ABEC7B4FAC5E728B8EAB971C3FEB14DB77EACECA5D8A44729> 107 四技二專統一入學測驗 107~1 四技二專 統一入學測驗電機與電子群資電類專業科目 ( 二 ) ( 本試題答案係統一入學測驗中心 107 年 5 月 17 日公布之參考答案 ) 第一部分 : 數位邏輯 107 年 1. 如圖 ( 一 ) 所示之邏輯電路, 若 A=0 且 B=1, 則下列何者正確? (A)WXYZ=0001 (B)WXYZ=0011 (C)WXYZ=0101 (D)WXYZ=0111

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Microsoft Word - Ch02-1.doc

Microsoft Word - Ch02-1.doc CPLD 工具軟體介紹 2-1 軟體取得 2-2 軟體安裝 2-3 軟體註冊 2-4 軟體使用 2-1 軟體取得 2-1-1 如何取得 MAX+PLUS II 軟體 因軟體更新速度極快, 要取得 MAX+PLUSⅡ 最新版本之軟體, 可上網到 ALTERA 公司網站上下載最新版, 下載步驟如下 : 1 打開瀏覽器 Internet Explorer 至 altera 公司網址 http://www.altera.com

More information

萬用閘的應用

萬用閘的應用 篇名 萬用閘的應用 作者 吳依珊 國立澎湖海事資訊科二年級甲班吳珮琪 國立澎湖海事資訊科二年級甲班王靜婷 國立澎湖海事資訊科二年級甲班 - 1 - 壹 前言 萬用閘的應用 電腦實際上並不會瞭解我們指派給它的任務及資訊, 藉由判斷每一個積體電路開或關的狀態, 並將這些訊號轉成 0 與 1 的的數位訊號, 組合成一組數字, 並轉換成欲執行的指令 現代的電腦系統以位元 (bit,binary digit)

More information

Microsoft Word - FPGA 實驗 doc

Microsoft Word - FPGA 實驗 doc Altera FPGA 燒錄實驗 設計程序 1. 編輯電路設計檔案 : 使用 MAX+plus II 內建圖形編輯器編輯邏輯電路檔案, 並使用 File Save As 存至適當的硬碟目錄, 注意目錄不可用中文或為根目錄 請注意取檔名必須與 Verilog HDL 中的 Top Cell 同名, 且附檔名取 *.v 2. 設定目前編輯的電路檔為專案 :File Project Set Project

More information

數位邏輯題庫本

數位邏輯題庫本 數位邏輯題庫本 適用科別 : 資訊 電子科 101 年第一學期適用班級 : 電子 資訊科 科目 : 數位邏輯測驗卷 章節 :L1 班級 : 座號 : 姓名 : 一 單選題 :( 每題 4 分 ) ( ) 1. 下列何者不是積體電路 (IC) 的優點? (A) 消耗功率低 (B) 工作速度快 (C) 故障率低 (D) 輸出較大的功率 ( ) 2. 積體電路中, 依邏輯閘數目之多寡分類, 且由多到少排序,

More information

Microsoft Word - 64554-9.doc

Microsoft Word - 64554-9.doc 第 9 章 循 序 邏 輯 運 用 重 點 整 理 1. 計 數 器 為 將 脈 波 送 到 由 正 反 器 組 成 的 計 數 器 電 路 2. 每 個 正 反 器 的 輸 出 連 接 到 下 一 個 正 反 器 的 CK 端 以 推 動 下 一 個 正 反 器, 即 稱 為 漣 波 計 數 器, 為 非 同 步 計 數 器, 使 用 上 時 脈 頻 率 會 受 到 限 制 3. n 個 正 反

More information

新增Microsoft Word 文件.doc

新增Microsoft Word 文件.doc 篇名 : AND OR NOT 邏輯閘之探討 作者 : 彭佳伶 臺北市立松山工農 電子科三年仁班 指導老師 : 陳茂璋老師 壹 前言 剛開始上數位邏輯課, 就對邏輯閘這部分很感興趣, 為什麼呢? 一方面是因為, 現今許多 IC 裡包含著邏輯閘, 而那些 IC, 廣泛的應用在各種大大小小的電子產品中, 總之, 邏輯閘 其實就藏在家中的各式電器裡, 帶給我們更方便的生活, 讓我忍不住想了解這令人過得更舒適的小玩意兒究竟為何物,

More information

封面-12

封面-12 第十二章 701Client TECHNOLOGY CO.,LTD. 701Client 701Server 701Client "701Client", 12-1 :supervisor :supervisor : 1. : 00~99 100 2. : 00~63 ( 63 / / ) 3. : 18 9 4. : 18 9 5. 12-2 TECHNOLOGY CO.,LTD. 701Client

More information

篇名:

篇名: 投稿類別 : 工程技術類 篇名 : 兩位數字 學號顯示器之設計與研析 作者 : 陳冠良 國立楊梅高中 高三資訊科甲班 葉日勝 國立楊梅高中 高三資訊科甲班 羅玉芬 國立楊梅高中 高三資訊科甲班 指導老師 : 簡樹桐老師 壹 前言 一 研究動機與目的 高二的課程有 數位邏輯 這門課, 課程中學習到有關於布林代數的計算 化簡, 及某些 IC 可以實現布林代數的結果, 不但可以把原本複雜的組合邏輯, 簡化成較簡單的電路

More information

Microsoft PowerPoint - chap12.ppt

Microsoft PowerPoint - chap12.ppt 步並列資料轉移 CLK 位址與命令 資料 匯流排週期 (a) 單一時脈週期 匯流排週期 CLK 位址與命令 資料 匯流排週期 匯流排週期 (b) 多時脈週期 林銘波編著 --- 全華科技圖書公司 2. 閃脈控制方式 --- 來源裝置啟動 來源裝置 閃脈控制線 標的裝置 (a) 方塊圖 成立 閃脈控制線 (b) 時序圖 標的裝置將資料閘入資料暫存器中 林銘波編著 --- 全華科技圖書公司 2.2 閃脈控制方式

More information

Microsoft Word - ACI chapter00-1ed.docx

Microsoft Word - ACI chapter00-1ed.docx 前言 Excel Excel - v - 財務管理與投資分析 -Excel 建模活用範例集 5 相關 平衡 敏感 - vi - 前言 模擬 If-Then 規劃 ERP BI - vii - 財務管理與投資分析 -Excel 建模活用範例集 ERP + BI + ERP BI Excel 88 Excel 1. Excel Excel 2. Excel 3. Excel - viii - 前言 1.

More information

Microsoft Word - 工作項目07

Microsoft Word - 工作項目07 工作項目 01 電腦 電子及電機機械識圖 一 工程識圖 1. 基礎製圖 (1) 在國際標準組織 (International Organization for Standardization, 簡稱 ISO) 與中國國家標準 (Chinese National Standards, 簡稱 CNS) 的標準建議案中, 其圖例均採用第一角投影法, 並根據 CNS 的標準, 我國應以公制表達繪製元件的外型尺寸

More information

目 录 第 一 部 分 2015 届 毕 业 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 生 数 量... 2 ( 二 ) 毕 业 生 结 构... 2 ( 三 ) 生 源 分 布 情 况... 2 ( 四 ) 就 业 情 况... 4 ( 五 ) 毕 业 生 择 业 观... 11

目 录 第 一 部 分 2015 届 毕 业 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 生 数 量... 2 ( 二 ) 毕 业 生 结 构... 2 ( 三 ) 生 源 分 布 情 况... 2 ( 四 ) 就 业 情 况... 4 ( 五 ) 毕 业 生 择 业 观... 11 目 录 第 一 部 分 2015 届 毕 业 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 生 数 量... 2 ( 二 ) 毕 业 生 结 构... 2 ( 三 ) 生 源 分 布 情 况... 2 ( 四 ) 就 业 情 况... 4 ( 五 ) 毕 业 生 择 业 观... 11 ( 六 ) 毕 业 生 薪 酬 情 况... 12 ( 七 ) 就 业 与 所 学 专 业 相 关 度...

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

AutoCAD 用戶如何使用 ArchiCAD

AutoCAD 用戶如何使用 ArchiCAD AutoCAD 用戶如何使用 ArchiCAD AutoCAD用戶如何使用ArchiCAD ( 中文版 ) 由 Scott MacKenzie, Simon Gilbert, Geoffrey Moore Langdon, David Byrnes, Ralph Grabowski 編寫 龍庭資訊有限公司 1/73 - 2. 3. 4. -

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

2-2 第二章系統分析 XTAL1/ (19/18): 時脈接腳 1. 使用內部振盪器 C1 XTAL1 C2 VSS 2. 使用外部時脈 圖 2-2 使用內部振盪器接線圖 時脈輸入 空接.. 時脈輸入 XTAL1 XTAL1 時脈輸入 XTAL1 VSS VSS VSS (a) (b) (c) 圖

2-2 第二章系統分析 XTAL1/ (19/18): 時脈接腳 1. 使用內部振盪器 C1 XTAL1 C2 VSS 2. 使用外部時脈 圖 2-2 使用內部振盪器接線圖 時脈輸入 空接.. 時脈輸入 XTAL1 XTAL1 時脈輸入 XTAL1 VSS VSS VSS (a) (b) (c) 圖 8051 單晶片 C 語言設計實務 2-1 2-1 接腳說明 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RST (RXD) P3.0 (TXD) P3.1 (INT0) P3.2 (INT1) P3.3 (T0) P3.4 (T1) P3.5 (WR) P3.6 (RD) P3.7 XTA L2 XTA L1 VSS 1 2 3 4 5 6 7 8 9 10 11

More information

6 2012 8476你猜得到它是什麼嗎 它就是 高分子! 生活中的高分子 有種物質的重要性不亞於我們所呼吸的空氣, 不管身在何處, 都有它的存在, 它甚至就隱藏在人體裡面! 人類若失去了它, 就得回到遠古時代, 甚至可能終止生命 高分子是什麼 高分子是由千個 甚至萬個以上的原子所組成, 呈現柔軟的特性 日常生活中使用的塑膠袋就是由高分子製造的, 人體組成中的 DNA 也是一種高分子 2012 8476

More information

第十章-s10

第十章-s10 第 章 暫 存 器 與 計 數 器. 暫 存 器 正 反 器 只 能 儲 存 一 個 位 元 的 資 料, 想 要 儲 存 多 位 元 的 資 料 就 必 須 將 多 個 正 反 器 並 連 或 串 聯 起 來 使 用, 這 種 可 以 控 制 多 位 元 資 料 輸 入 貯 存 的 裝 置, 稱 為 暫 存 器 暫 存 器 依 其 資 料 輸 入 / 輸 出 的 型 式 可 分 為 :.- 串 列

More information

Microsoft Word - ACL chapter02-5ed.docx

Microsoft Word - ACL chapter02-5ed.docx 第 2 章神奇的質數 2.1.1 什麼是質數 1 1 1 打下好基礎 - 程式設計必修的數學思維與邏輯訓練 1 1 0 10 2 3 5 7 4 6 8 9 10 4 10000 1229 1000 168 2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97 101 103 107 109 113 127 131

More information

男人的大腦 女人的大腦

男人的大腦 女人的大腦 46 2014 6 498 男女大乾坤 男女的戀愛行為 男人的大腦 女人的大腦 2014 6 498 47 48 2014 6 498 女人的戀愛行為 70 900 男人的戀愛行為 8 2014 6 498 49 50 2014 6 498 對於愛與性的混淆 男女所面臨的問題 和我一樣喜歡做愛除了我, 不可以看別人相信我, 沒有問題現在, 和我做愛知道如何引燃我從不傷害我 朋友關係和性 嫉妒和占有欲

More information

ch-10.tpf

ch-10.tpf 第 0 章 積體電路 (IntegratedCircuit) 的開發, 造就了今天的電子科技 在進入電子科技領域之前, 應先瞭解積體電路的分類與重要特性, 其次對於一些常用的 IC, 應熟悉其應用電路, 是本章的研習重點 本章實習時數 : 8 小時 瞭解積體電路的種類與包裝 認識常用的類比 IC 能正確使用數位 IC 認識常用的數位 IC 能正確使用數位 IC 0- 積體電路概說 0-2 積體電路的種類

More information

Microsoft Word - ch8 七段顯示器實習.doc

Microsoft Word - ch8 七段顯示器實習.doc 0 入門 - 如圖 - 所示七段顯示器, 是以 個 LED 排列組合而成, 由順時針方向依序命名為 及小數點, 因為七段顯示器是由 個 LED 所組成, 所以電氣特性與 LED 完全相同 另外在上 下各有一支 COM 腳, 以方便電路板佈線 COM () 元件 () 正面接腳圖 圖 - 七段顯示器 如圖 - 所示為七段顯示器的內部結構, 可分成兩種 : 一為共陽極 (ommon no, 簡記 CA)

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

投影片 1

投影片 1 資料庫管理程式 ( 補充教材 -Part2) 使用 ADO.NET 連結資料庫 ( 自行撰寫程式碼 以實現新增 刪除 修改等功能 ) Private Sub InsertButton_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles InsertButton.Click ' 宣告相關的 Connection

More information

第七章行政工作 7.1 預算 法律依據 預算收入 94

第七章行政工作 7.1 預算 法律依據 預算收入 94 第七章行政工作 93 第七章行政工作 7.1 預算 7.1.1 法律依據 7.1.2 預算收入 94 五 2006 年收入管理 圖表二十六 2006 年收入結構 7.1.3 預算支出 95 圖表二十七 2006 年支出管理 96 圖表二十八 2006 年實際支出結構 圖表二十九 2006 年預算支出與實際支出對比 97 7.2 人員 圖表三十 1999 2006 年人員數目比較表 98 附件行政申訴範疇立案調查個案撮要

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

CU0594.pdf

CU0594.pdf 8 SOHO 1 3 003 SOHO SOHO Coder Programmer HTML CSS PHP JavaScrip 009 LECTURE 1-1 1 048 PART 2 LECTURE 1-1 1 049 SOHO Landing Page Landing 050 PART 2 LECTURE 1-1 1 SEO SEO P.093 SEO SEO SEO SEO SEO 051

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度, 進而獲得地底物質密度與深度的關係 地下世界知多少 km/s g/cm 3 P Gpa km S P S 3,000 3,000 ak K 透視地底 Percy Bridgma

現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度, 進而獲得地底物質密度與深度的關係 地下世界知多少 km/s g/cm 3 P Gpa km S P S 3,000 3,000 ak K 透視地底 Percy Bridgma 透視地球深處 的窗戶? extreme condition extreme environment 94.5 1 270 21 3.9 12.3 6,400 300 4,000 1864 Jules Gabriel Verne 1959 2008 1990 Paul Preuss 2003 24 2013 2 482 現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度,

More information

( )... 5 ( ) ( )

( )... 5 ( ) ( ) 2016 大學校院招收大陸地區學生聯合招生委員會 71005 臺南市永康區南臺街 1 號 E-mail:rusen@stust.edu.tw WEB:http://rusen.stust.edu.tw TEL:+886-6-2435163 FAX:+886-6-2435165 2 0 1 6 0 1 1 9 2016... 2... 3... 5 ( )... 5 ( )... 5 1... 6 2...

More information

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8 Smart TPM Rev. 1001 Smart TPM Ultra TPM Smart TPM TPM...3 1. BIOS... 3 2. Infineon TPM Smart TPM... 4 2.1. Infineon TPM...4 2.2. Smart TPM...4 3. TPM... 5 3.1. Smart TPM TPM...5 3.2. Advanced Mode...8

More information

Microsoft PowerPoint - chap07.ppt

Microsoft PowerPoint - chap07.ppt 第 7 章 多階閘電路 /NAND 和 NOR 閘 多階閘電路 階數 (level): 在一個電路的輸入和輸出之間所能串接的最大閘數稱為閘的階數 AND-OR 電路 OR-AND 電路 OR-AND AND-OR 電路 AND 和 OR 閘電路 Chap 7 2 多階閘電路 ( 續 1) 對於一個 AND-OR 電路, 我們可以分解分解其所導出的積項和表示式來增加它的階數 對於一個 OR-AND 電路,

More information

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌 九 峰 吟 草 一 一 一 一 一 一 一 一 一 ~- - - 一 一 -- ~ - ~ ~ ~ ~ ~.. ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~. ~ ~. ~ ~ ~ ~ - ~ ~ ~ ~ ~ ~ 一 r 气 户 孜 犷 杯 只 匀 风 向 方 镇 忆 漾 阳 七 律 壕 江 两 岸 好 风 光, 古 史 连 篇 四 面 藏 典 语 南 桥 添 锦 绣, 泠 东 半 塔 裕 民 康 西

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Microsoft Word - ISSFA-0109_B_SM59264_WDT_ APN_TC_.doc

Microsoft Word - ISSFA-0109_B_SM59264_WDT_ APN_TC_.doc Watch Dog Timer () 功能使用說明 ( 使用內部 250KHz) 適用產品 :SM59264 SM59128 SM8954A SM8958A SM89516A SM894051 SM79108 SM79164 SM59D03/04G2 應用說明 : 1 針對使用新茂 (SyncMOS)MCU, 如有因程式設計之關係, 需防止當機之發生時, 可啟動 Watch Dog 功能, 以防止當機之發生

More information

SW cdr

SW cdr 1~2 3 4 5~6 7~8 9~10 11 12 13 14 15 16~18 16 16 17 17 18 18 18 19 19 19 20 21 22 23~26 23 24 24 25 26 27 27 27 : 110V 1 110V 110V 15A 2 3 23 24 4 ( ) 5 6 1 2 26 20 l 1 7 3 4 5 15 17 18 12 7~13 6 ~ 8 ~

More information

Microsoft Word - PLC與GP接線說明_缺WDH_2.doc

Microsoft Word - PLC與GP接線說明_缺WDH_2.doc PC 與 HMI 下載線路圖 HMI PC 1 RS422 R+ 2 RS232 RX 3 RS232 TX 2 RS232 RX 3 RS232 TX 4RS485 D+/RS422 T+ 6 RS422 R- 7 RS232 RTS 8 RS232 CTS 7 RS232 RTS 8 RS232CTS 9RS485 D-/RS422 T- SH( 外殼 ) DB9( 母 ) 接頭 SH( 外殼

More information

B3B2B1B0 CA CB CC CD CE CF CG

B3B2B1B0 CA CB CC CD CE CF CG Lab7:7 段顯示器控制電路 [ 實驗說明 ] : 這一個範例將分成兩階段 首先使用 ISE12.4 先進行叫用 Seven_Segmenet.vhd 模組建立 4bit HEX 轉換 7 段顯示器控制電路練習 接著再使用 Lab1 所設計的 2:4 解碼器與 Lab4 四位元加法器電路利用 Schematic 階層式設計快速建立加法器和輸出到 7 段顯示器的控制電路 你將開啟一個 Seven_Segmenet_Adder.sch

More information

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆 Autodesk Product Design Suite Standard 20122 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆的產品設計計 Autodesk Product Design Suite Standard 版本中中包括以下軟體體產品

More information

3 92 59235 89 5 60,00024,000 84,000 2028476 6

3 92 59235 89 5 60,00024,000 84,000 2028476 6 山 中 傳 奇 與 水 的 牽 絆 二 峰 圳 4 4 0 00 922 92 92002 60 2028476 3 92 59235 89 5 60,00024,000 84,000 2028476 6 86.6 679 58,800 4 220.4 970 23,800 69 58,800 69,733 4 23,800 252,478 69 76 6 925 4 7 5 970 38 50 25

More information

Chapter 3 Camera Raw Step negative clarity +25 ] P / Step 4 0 ( 下一頁 ) Camera Raw Chapter 3 089

Chapter 3 Camera Raw Step negative clarity +25 ] P / Step 4 0 ( 下一頁 ) Camera Raw Chapter 3 089 Photoshop CC Camera Raw Photoshop Camera Raw Step 1 3 1 2 3 SCOTT KELBY Step 2 B Camera Raw 088 Chapter 3 Camera Raw Chapter 3 Camera Raw Step 3-4 -100 negative clarity +25 ] P / -75-50 Step 4 0 ( 下一頁

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09 ELECTRICITY ELECTRICITY BASIC BASIC 本章學習目標 1. 利用節點電壓法分析各支路的電流 2. 利用迴路電流法分析各迴路的電流 3. 瞭解重疊定理在多電源電路的應用. 利用戴維寧與諾頓定理化簡電路 5. 瞭解戴維寧與諾頓等效電路的轉換 6. 學習負載如何在電路中獲得最大的功率轉移 0626-0.indd 12 2009/11/10 下午 0:58:02 本章綱要 -1

More information

* 2

* 2 * 2 1. A 3. A 2. B A. 1. 1 2. 1 3 4 4 6 p 123456 7 bk bl bm bn 7 bo cm 9 8 cl ck bt bs br bp bq 1 2 3 4 5 6 7 8 9 bk bl bm 0 bn bo bp bq br bs bt p ck 8 2 4 6 cl cm cq cp co cn cn co cp cq 10 . [8]

More information

投影片 1

投影片 1 軟體說明書繁體中文 RGB A 目錄 - CONTENTS 01 09 15 17 22 軟體主介面 巨集設定說明 主介面概觀 個人設定檔 (Profiles) 一般模式 / 遊戲模式 按鍵功能分配 巨集管理器概觀 巨集管理器 巨集錄製設定 巨集錄製時間列表 插入指令 閃移系統 - I.S.S (Instant Shift System) 燈光設定更新韌體 閃移系統啟動鈕設定說明 燈光設定介面 介面區域一

More information

中華民國 第49屆中小學科學展覽會

中華民國 第49屆中小學科學展覽會 中華民國第 49 屆中小學科學展覽會 作品說明書 高中組生活與應用科學科 040814 太陽能光控節能窗簾 學校名稱 : 基隆市私立二信高級中學 作者 : 指導老師 : 高二許栢豪 王永富 高二林宸漢 高二謝誌倫 高二許硯鈞 關鍵詞 : 太陽能 光控電路 窗簾 CO2 1 6 1900 1 3 84 580 CO2 1-1 2003 CO2 4.57 CO2 1.43 1-2 1-1 CO2 1-2

More information

FETnet - Acer Iconia Tab  (A500 Wi-Fi 版) 平板電腦操作指南

FETnet - Acer Iconia Tab  (A500 Wi-Fi 版) 平板電腦操作指南 Acer Iconia Tab (A500) 平板電腦操作指南 作業系統 :Android 3.0 / 網路頻段 :Wi-Fi 版暫不支援 :Exchange Server 信箱 ( 無法使用 Smart 助手 ) 及手寫輸入法 產品外觀安全保密功能設定數據功能 開關 PIN 碼 ( 暫無資料 ) 更改 PIN 碼 ( 暫無資料 ) 解除 PUK 碼 ( 暫無資料 ) 手機密碼鎖 手動選網 ( 暫無資料

More information

目 录 卷 首 语 01 最 是 一 年 春 好 处 ( 月 刊 ) 圆 园 16 年 2 月 总 第 168 期 主 办 : 金 华 市 精 神 文 明 建 设 委 员 会 承 办 : 中 共 金 华 市 委 宣 传 部 金 华 市 文 明 办 主 编 辑 委 员 会 任 : 何 杏 仁 副 主

目 录 卷 首 语 01 最 是 一 年 春 好 处 ( 月 刊 ) 圆 园 16 年 2 月 总 第 168 期 主 办 : 金 华 市 精 神 文 明 建 设 委 员 会 承 办 : 中 共 金 华 市 委 宣 传 部 金 华 市 文 明 办 主 编 辑 委 员 会 任 : 何 杏 仁 副 主 最 是 一 年 春 好 处 本 刊 评 论 员 中 国 共 产 党 金 华 市 六 届 十 次 全 会 通 过 了 金 华 市 国 民 经 济 和 社 会 发 展 第 十 三 个 五 年 规 划 袁 明 确 了 提 前 实 现 野 四 翻 番 冶 尧 高 水 平 建 成 小 康 社 会 的 新 目 标 遥 我 们 应 当 看 到 袁 高 水 平 全 面 建 成 小 康 社 会 袁 文 化 小 康 不

More information

untitled

untitled 立 益 數 路 1 TTL 數 IC 流 TTL 數 IC 7400 TTL( TTL, standard TTL) 74S00 TTL(Schottky TTL) 74LS00 率 TTL (Low power Schottky TTL) 74F00 TTL 74ALS00 TTL CMOS 數 IC CD4000 series CMOS 74C00 CMOS TTL CMOS 74HC00 CMOS

More information

數位電容表的研究與製作

數位電容表的研究與製作 投稿類別 : 工程技術類 篇名 : 作者 : 鄭富元 國立彰化師範大學附屬高級工業職業學校 電子科三年忠班 吳東霖 國立彰化師範大學附屬高級工業職業學校 電子科三年忠班 吳正彥 國立彰化師範大學附屬高級工業職業學校 電子科三年忠班 指導老師 : 許建斌老師 壹 前言 一 研究動機 在學校實習課程中, 知道許多的電子元件表面都有數字及色碼等表示數值的方式, 但當元件表面的數值或色碼磨損時, 只有透過精密的電表才能測得電容的正確數值

More information

中文使用手冊 LP-2900 CPLD 邏輯設計實驗平台及 Altera Cyclone VE 的晶片板

中文使用手冊 LP-2900 CPLD 邏輯設計實驗平台及 Altera Cyclone VE 的晶片板 中文使用手冊 LP-2900 CPLD 邏輯設計實驗平台及 Altera Cyclone VE 的晶片板 版權 LEAP Electronic Co., Ltd. 力浦電子實業股份有限公司版權所有 未經書面許可, 不得以任何形式複製或散播本手冊的任何內容 本手冊僅供購買者參考與保存, 產品規格與手冊的變更恕不另行通知 所有因使用或可歸因於使用本產品硬體及軟體和手冊所造成的風險應由使用者自行承擔 商標

More information

目 录 第 一 章 基 本 状 况... 1 一 毕 业 生 规 模 与 结 构...1 二 毕 业 生 生 源 地 分 布...3 三 毕 业 生 初 次 就 业 率...5 四 毕 业 生 就 业 去 向 分 布...7 五 毕 业 生 就 业 行 业 分 布...8 六 毕 业 生 就 业 职

目 录 第 一 章 基 本 状 况... 1 一 毕 业 生 规 模 与 结 构...1 二 毕 业 生 生 源 地 分 布...3 三 毕 业 生 初 次 就 业 率...5 四 毕 业 生 就 业 去 向 分 布...7 五 毕 业 生 就 业 行 业 分 布...8 六 毕 业 生 就 业 职 2015 届 毕 业 生 就 业 质 量 年 度 报 告 二 0 一 五 年 十 二 月 目 录 第 一 章 基 本 状 况... 1 一 毕 业 生 规 模 与 结 构...1 二 毕 业 生 生 源 地 分 布...3 三 毕 业 生 初 次 就 业 率...5 四 毕 业 生 就 业 去 向 分 布...7 五 毕 业 生 就 业 行 业 分 布...8 六 毕 业 生 就 业 职 业 分 布...9

More information

IC認證試題題目:1 Bit Full-Adder

IC認證試題題目:1 Bit Full-Adder 數位 IC 設計能力鑑定學科 筆試題庫及參考解答 著作權所有, 非經同意, 不得翻印轉載 Page 1 學科筆試題庫 選擇題 - 共 50 題 Page 2 一 選擇題 : 請在左列填入正確的選項 (2) 1. 四位元的非同步計數器 (asynchronous counter) 總共有多少種不同的狀態? (1) 32 (2) 16 (3) 8 (4) 4 (4) 2. 對於一高態動作 SR latch(active-high

More information

VF---10

VF---10 VF---10 1 2 3 MCCB MC 1K2W 0~10V 0~5V 4~20mA R S T FWD REV X1 X2 X3 X4 X5 X6 COM 10V VS1 VS2 IS AGND U V W E COM PG A+ PG A- PG B+ 24V PG B- A B C Y1 Y2 Y3 COM M 485+ 485- A01 A02 AGND AM FM 6 MCCB

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 NVIDIA 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 256MB

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

46 2011 11 467 數位遊戲式學習系統 7 2011 11 467 47 3 DBGameSys 48 2011 11 467 正規化資料模組 如何配置並儲存電子化資料 以 便減少資料被重覆儲存的程序 DBGameSys的主要功能模組包 學習者 審核評分模組 含 正規化資料模組 審核評分 模組 高分列表模組3大區塊 系統資料庫 在正規化資料模組的執行 高分列表模組 過程中 先要求學習者瀏覽遊戲

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框 第 二 篇 - 人 文 地 理 ( 五 ) 民 宅 的 祈 福 辟 邪 物 臺 灣 早 期 移 民, 因 為 離 鄉 背 井, 對 於 新 環 境 的 陌 生, 以 及 生 存 的 不 容 易, 再 加 上 承 襲 閩 粵 地 區 的 習 慣, 所 以 住 屋 講 究 的 是 祈 福 辟 邪 除 了 建 屋 之 前 要 看 地 理 風 水, 在 建 屋 時 更 有 許 多 禁 忌 要 遵 守 另 外,

More information

的友誼 而且無論外貌怎樣改變 自信心是自己給自己的 發自內心 的自我認同感是無法改變的 只要自我認同才能得真正的心靈富足 而不是單純的改變外表 不同的整容公司亦會利用現今社會的價值觀來作宣傳 誇大整容 後的效果 又用明星效應 令消費者認為整容真的能變成明星一樣 整容這個風氣是由人們的價值觀造成的 有人指出 我們要接納 整容後的人以及香港社會應對此持更開放的態度 但相反地 為什麼 不能接納那些我們認為不

More information

Microsoft Word - 數位邏輯學科題庫_500題_ doc

Microsoft Word - 數位邏輯學科題庫_500題_ doc 數位邏輯設計丙級能力認證學科應試題庫 (500) 答案題號題目下圖電路符號為何種邏輯閘? D 1 反或 (NOR) 閘 (B) 或 (OR) 閘 (C) 互斥或 (XOR) 閘 (D) 反互斥或 (XNOR) 閘請問下列哪一個電路符號, 符合下列真值表? C 2 (B) (C) (D) 請問下列電路符號為何種邏輯閘? B 3 高電位動作的三態閘 (B) 互補式輸出的緩衝器 (buffer) (C)

More information

Microsoft Word - 105學年度第一次統測模考範圍表.doc

Microsoft Word - 105學年度第一次統測模考範圍表.doc 105 學年四技二專模擬考詳細範圍表105 學年度四技二專聯合模擬考試 各類各科詳細範圍表 ( 各科採一綱多本的方式出題 ) 國文 共同模擬考試範圍表 國文 Ⅰ 一 範文 1.1 文選 1.2 古典詩選 ( 一 ) 1.3 現代詩選 二 文化教材 : 論語選讀 ( 一 ) 三 應用文 四 作文 國文 Ⅱ 一 範文 1.1 文選 1.2 古典詩選 ( 二 ) 1.3 現代詩選 二 文化教材 : 論語選讀

More information

Ulinx-spartan3-ST3-使用手冊.pub

Ulinx-spartan3-ST3-使用手冊.pub P1 為希科技 Sparatn3 XC3S200-PQ208 ST3 實驗板使用手冊 2006.Jan.15.V1.0 P2 目錄 使用手冊 Introduction.page 3 Chapter 1 : Power..page 5 Chapter 2 : Interface RS232..page 6 LED..page 8 PUSH_BUTTOM..page 9 DIP_SWITCH..page

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

Three Point Inside Micrometers

Three Point Inside Micrometers 三點式內徑測微器 ( 刻劃式 ) Three Point Inside Micrometer (Vernier) TP-9006 TP-9008 TP-9010 TP-9012 TP-9016 TP-9020 TP-9025 TP-9030 TP-9040 TP-9050 TP-9062 TP-9075 TP-9087 6-8mm 8-10mm 10-12mm 12-16mm 16-20mm 20-25mm

More information

一 Hot Potatoes 命 題 軟 體 操 作 說 明 一 註 冊 操 作 程 序 1. 進 入 Hot Potatoes 的 官 方 網 站 網 址 http://web.uvic.ca/hrd/hotpot/index.htm 並 點 選 Register 2. 點 選 read the licence terms. 閱 讀 使 用 說 明 3. 點 選 I have read the license

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

Microsoft Word - 第四章.doc

Microsoft Word - 第四章.doc 第 四 章 - 試 分 別 說 明 組 合 邏 輯 電 路 與 序 向 邏 輯 電 路 之 定 義 解 : 組 合 邏 輯 電 路 由 基 本 邏 輯 閘 所 組 成 的 此 種 邏 輯 電 路 之 輸 出 為 電 路 所 有 輸 入 的 組 合 因 此 輸 出 狀 態 可 完 全 由 目 前 之 輸 入 來 決 定 而 組 合 邏 輯 電 路 之 示 意 圖 如 圖 所 a 示 ; 而 序 向 邏

More information

Microsoft Word - 第五章

Microsoft Word - 第五章 5 組合邏輯電路設計 算術運算電路 hpter hpter hpter hpter hpter hpter hpter hpter 概述 加 減 乘與除等四種算術運算在我們日常生活中, 用來處理數值運算之基本方法, 因此數位電路 (Dgtl rcut) 亦應具有這些基本算術運算功能, 才能用來幫助人類處理各種繁瑣之數值運算問題 數位電路僅能用來處理二進位 ( 二元性 ) 資料, 若引入補數 (omplement)

More information

!!!!!! Ø Ø ! >10cm >4cm >4cm >10cm! !!!!! ! POWER SOURCE NFB Filter R S T IGBT U V W CHOKE MOTOR E ENCODER TO Panel TO HMI PLC CON 5P (PANEL PORT) V5T BRAKE Line Drive A A/ B B/ C C/ -10V ~ +10V

More information

今天 2011年春季号 总 92 期

今天   2011年春季号 总 92 期 今 天 2011 年 春 季 号 总 92 期 目 录 业 余 诗 人 专 辑 这 些 业 余 诗 人 赵 野 海 波 的 诗 ( 七 首 ) 凄 凉 犯 简 史 海 波 吉 木 狼 格 的 诗 ( 六 首 ) 我 的 诗 歌 吉 木 朗 格 李 亚 伟 的 诗 ( 十 三 首 ) 口 语 和 八 十 年 代 李 亚 伟 默 默 的 诗 ( 十 三 首 ) 我 们 就 是 海 市 蜃 楼 一 个 人

More information

*

* (1982.2 1987.12) 1982 2 20 6 23 6 4 7 14 20 7 28 [1982]148 670 20 9 10 12 10037 1581 126 ( 1 ) 1983 1 17 2 4 2 25 83 20 3 21 4 70 9 11 4 3 11 21 [1983]127 12 1984 8 4 20 3 5 5 7 12 29 12 1985 1 14 1 4

More information

(2000 7 24 ) / / / / / / /

(2000 7 24 ) / / / / / / / (2000 7 24 ) / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / 19 (2000 7 24 ) (2000 7 24 ) ! 250 348 ! ! 0 1 (2000 7 25 ) 1952 1959 1926-1927 1988

More information

(Microsoft Word - 8\244T\244\362\277\337\272]\244W\265L\246W.doc)

(Microsoft Word - 8\244T\244\362\277\337\272]\244W\265L\246W.doc) 赤 川 次 郎 作 品 集 8 三 毛 貓 榜 上 無 名 1 偶 然, 是 件 有 趣 的 事 溫 水 小 百 合 知 道 之 後, 心 情 輕 鬆 了 不 少 光 是 這 個, 看 官 大 概 不 明 白 是 怎 麼 一 回 事 吧 若 要 理 解 小 百 合 的 感 受, 就 必 須 由 火 車 緩 緩 開 動, 從 車 窗 看 不 見 在 月 台 揮 手 的 母 親 時, 小 百 合 陷 入

More information

Microsoft Word - 專家本色941202.doc

Microsoft Word - 專家本色941202.doc 專 家 本 色 : 名 師 教 學 實 錄 與 專 訪 前 言 本 次 所 拜 訪 的 學 校 是 位 於 彰 化 的 北 斗 家 商, 我 們 帶 著 既 緊 張 又 興 奮 的 心 情, 準 備 好 類 影 機 數 位 相 機 與 錄 音 筆, 希 望 能 將 訪 談 的 內 容 做 很 完 整 的 介 紹, 把 馮 老 師 的 教 學 精 華 全 部 呈 現 而 馮 傳 蓉 老 師 的 教 學

More information

但, 你 应 该 听 过 我 们 走 在 大 路 上 这 首 歌, 或 许 还 知 道 革 命 人 永 远 是 年 轻 那 支 歌 ; 并 且, 几 乎 可 以 肯 定, 你 在 戴 红 领 巾 的 那 阵, 必 然 唱 过 牛 儿 还 在 山 坡 吃 草, 放 牛 的 却 不 知 道 哪 儿 去

但, 你 应 该 听 过 我 们 走 在 大 路 上 这 首 歌, 或 许 还 知 道 革 命 人 永 远 是 年 轻 那 支 歌 ; 并 且, 几 乎 可 以 肯 定, 你 在 戴 红 领 巾 的 那 阵, 必 然 唱 过 牛 儿 还 在 山 坡 吃 草, 放 牛 的 却 不 知 道 哪 儿 去 爹 亲 娘 亲 不 如 毛 主 席 亲 作 者 下 场 有 多 惨 http://www.aboluowang.com/2015/0821/601184.html 2015-08-19 22:01:59 李 劫 夫 是 中 国 近 现 代 著 名 作 曲 家, 他 曾 创 作 了 大 量 的 毛 泽 东 诗 词 歌 曲 和 毛 泽 东 语 录 歌 曲, 最 为 著 名 的 就 是 曾 风 行 一 时

More information

2 临 终 助 念 答 问 序 临 终 关 怀, 由 佛 门 净 宗 古 来 祖 师 大 德 提 倡 助 念 往 生, 现 今 已 渐 为 社 会 大 众 所 重 视, 在 台 湾, 台 大 长 庚 等 各 大 医 院, 也 都 设 有 助 念 室 ; 大 陆 上 许 多 道 场, 也 有 专 为

2 临 终 助 念 答 问 序 临 终 关 怀, 由 佛 门 净 宗 古 来 祖 师 大 德 提 倡 助 念 往 生, 现 今 已 渐 为 社 会 大 众 所 重 视, 在 台 湾, 台 大 长 庚 等 各 大 医 院, 也 都 设 有 助 念 室 ; 大 陆 上 许 多 道 场, 也 有 专 为 华 净 藏 空 净 法 宗 师 学 主 会 讲 讲 记 组 整 理 临 终 助 念 答 问 2 临 终 助 念 答 问 序 临 终 关 怀, 由 佛 门 净 宗 古 来 祖 师 大 德 提 倡 助 念 往 生, 现 今 已 渐 为 社 会 大 众 所 重 视, 在 台 湾, 台 大 长 庚 等 各 大 医 院, 也 都 设 有 助 念 室 ; 大 陆 上 许 多 道 场, 也 有 专 为 临 命 终

More information

Microsoft Word - 澎湖田調報告-宏達組9804.doc

Microsoft Word - 澎湖田調報告-宏達組9804.doc 越 南 漢 文 學 與 民 俗 文 化 期 中 報 告 書 澎 湖 縣 山 水 社 區 越 南 新 住 民 妊 娠 醫 療 照 護 田 野 調 查 田 野 調 查 日 期 : 三 月 二 十 一 日 ( 六 ) 至 三 月 二 十 三 日 ( 日 ) 指 導 教 授 陳 益 源 老 師 成 員 古 佳 峻 戴 榮 冠 林 宏 達 阮 氏 清 水 澎 湖 縣 山 水 社 區 越 南 新 住 民 妊 娠

More information

<4D6963726F736F667420506F776572506F696E74202D20313032313230355FA8BEA861B8EAB7BDBEE3A658BB50C0B3A5CE28B773A6CBA5AB29>

<4D6963726F736F667420506F776572506F696E74202D20313032313230355FA8BEA861B8EAB7BDBEE3A658BB50C0B3A5CE28B773A6CBA5AB29> 編 修 校 園 災 害 防 救 計 畫 家 庭 防 災 卡 47/92 校 況 與 災 害 分 析 演 練 組 別 指 揮 官 搶 救 組 通 報 組 避 難 引 導 組 安 全 防 護 組 緊 急 救 護 組 準 備 器 材 安 全 帽 對 講 機 大 聲 公 收 音 機 手 電 筒 安 全 帽 對 講 機 消 防 器 材 圓 鍬 十 子 鎬 繩 子 哨 子 手 電 筒 安 全 帽 對 講 機 師

More information

,,,,,,,,,,,,,,,,,,,,, 1 ,,,,,,,,, : :,,,,,,,,,,, :?, :?!,,,!,, :!!,,,,,,,,,,,, : 2 ,,,,,,,,, ;,,,,,,,,,,,,,,,,,,,,,,,,,,,,?,,,,, :? :,? :? 3 ?,,, :,!, :,! : ( ),, :,?,,,,,,,,,,,,,,, 4 ,,,,, :!,,, :,! :

More information

之 原 則 及 國 防 部 訂 頒 國 軍 列 管 國 有 不 動 產 提 供 非 軍 方 單 位 使 用 處 理 原 則 規 定 不 符, 仍 應 以 出 租 方 式 辦 理 惟 可 就 偏 遠 地 區 提 供 官 兵 金 融 水 電 服 務 使 用 部 分, 研 議 降 低 租 金 標 準, 報

之 原 則 及 國 防 部 訂 頒 國 軍 列 管 國 有 不 動 產 提 供 非 軍 方 單 位 使 用 處 理 原 則 規 定 不 符, 仍 應 以 出 租 方 式 辦 理 惟 可 就 偏 遠 地 區 提 供 官 兵 金 融 水 電 服 務 使 用 部 分, 研 議 降 低 租 金 標 準, 報 五 主 席 致 詞 :( 略 ) 六 座 談 內 容 :( 略 ) 七 實 地 訪 查 結 果 詳 如 附 件 訪 查 紀 錄 表 八 結 論 : ( 一 ) 實 地 訪 查 紀 錄 表 內 之 建 議 處 理 方 式, 請 國 防 部 配 合 辦 理 ( 二 ) 國 防 部 96 年 度 計 畫 釋 出 之 土 地, 請 准 就 地 上 建 物 併 同 變 更 為 非 公 用 財 產 或 報 廢

More information

chineseall

chineseall 太 阳 照 在 天 鹅 洲 上 刘 继 明 1 上 篇 一 晚 饭 后 1 河 口 镇 派 出 所 的 所 长 周 斌 从 宿 舍 里 出 来 i 经 过 值 班 室 时 8 对 翘 着 二 郎 腿 看 电 视 的 民 警 王 长 征 喊 了 一 声 : 小 王 1 别 看 电 视 啦 i 陪 我 出 去 转 转 吧 y 在 民 警 小 王 的 印 象 中 上 周 斌 是 一 位 忠 于 职 守 的

More information

釋禪波羅蜜次第法門

釋禪波羅蜜次第法門 释 禅 波 罗 蜜 次 第 法 门 第 十 二 讲 最 尊 贵 的 净 莲 上 师 讲 解 讲 于 新 加 坡 大 悲 佛 教 中 心 二 一 年 六 月 三 日 各 位 法 师! 各 位 居 士 大 德! 阿 弥 陀 佛! 我 们 今 晚 介 绍 魔 事 什 么 叫 魔 事 呢? 就 是 指 魔 罗 所 做 的 事, 叫 做 魔 事 那 魔 罗 做 什 么 事 呢? 就 是 经 常 以 破 坏 众

More information

证券代码:600754 证券简称:锦江股份 公告编号:【】

证券代码:600754         证券简称:锦江股份          公告编号:【】 证 券 代 码 :600754/900934 证 券 简 称 : 锦 江 股 份 / 锦 江 B 股 公 告 编 号 :2016-017 上 海 锦 江 国 际 酒 店 发 展 股 份 有 限 公 司 日 常 关 联 交 易 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内

More information

1700 装 卸 搬 运 7645 装 卸 搬 运 服 务 2100 建 筑 7410 工 程 服 务 11% 装 卸 搬 运 服 务, 是 指 使 用 装 卸 搬 运 工 具 或 者 人 力 畜 力 将 货 物 在 运 输 工 具 之 间 装 卸 现 场 之 间 或 者 运 输 工 具 与 装 卸

1700 装 卸 搬 运 7645 装 卸 搬 运 服 务 2100 建 筑 7410 工 程 服 务 11% 装 卸 搬 运 服 务, 是 指 使 用 装 卸 搬 运 工 具 或 者 人 力 畜 力 将 货 物 在 运 输 工 具 之 间 装 卸 现 场 之 间 或 者 运 输 工 具 与 装 卸 营 改 增 征 收 品 目 对 照 表 1110 铁 路 货 物 运 输 1120 铁 路 旅 客 运 输 7110 铁 路 运 输 服 务 11% 铁 路 运 输 服 务, 是 指 通 过 铁 路 运 送 货 物 或 者 旅 客 的 运 输 业 务 活 动 1210 公 路 货 物 运 输 1220 公 路 旅 客 运 输 1300 城 市 公 共 交 通 业 7120 其 他 陆 路 运 输 服

More information