Microsoft PowerPoint - FPGA设计高级进阶.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - FPGA设计高级进阶.ppt"

Transcription

1 FPGA 设计高级进阶 贺光辉清华大学电子工程系 1

2 目标 掌握 FPGA 的基本设计原则 乒乓结构 流水线设计 异步时钟域的处理 状态机的设计 毛刺的消除 掌握 FPGA 设计的注意事项 从文档到设计完成 从设计实例加深设计思想 2

3 提纲 FPGA 的基本设计原则 FPGA 设计的注意事项 设计实例 交织器 数据适配器 3

4 推荐书籍 Verilog Verilog 数字系统设计教程夏宇闻 北京航天航空大学出版社 硬件描述语言 Verilog FPGA 刘明业等译清华大学出版社 基于 FPGA 的系统设计 ( 英文版 ) Wayne Wolf 机械工业出版社 Altera FPGA/CPLD 设计 ( 高级篇 ) EDA 先锋工作室人民邮电出版社 IC 设计 Reuse methodology manual for system-on-a-chip designs 3rd ed. M ichael Keating, Pierre Bricaud. 片上系统 : 可重用设计方法学沈戈, 等译电子工业出版社, 2004 Writing testbenches : functional verification of HDL models / J anick Bergeron Boston : Kluwer Academic, c2000 4

5 推荐文章 Verilog Coding Styles For Improved Simulation Efficiency State Machine Coding Styles for Synthesis Synthesis and Scripting Techniques for Designing Multi-Asynchronous Clock Designs Synchronous Resets? Asynchronous Resets? I am so confused! Nonblocking Assignments in Verilog Synthesis, Coding Styles That Kill! 5

6 FPGA 设计的两条思路 控制通路 ( 上午 ) 有限状态机的设计 异步时钟域的处理 数据通路 ( 下午 ) 关注算法到结构的映射 6

7 FPGA 设计基本原则 7

8 目标 完成本单元的学习后你将会 加深 FPGA 设计常用的设计思想和技巧 了解为什么才用流水线设计 掌握处理异步时钟的方法 掌握状态机的设计 了解毛刺消除的方法 8

9 FPGA 设计流程 Design Specification Design Entry/RTL Coding - Behavioral or Structural Description of Design CLB Block RAM I/O RTL 仿真 - Functional Simulation (Modelsim ) - Verify Logic Model & Data Flow (No Timing Delays) 综合 - Translate Design into Device Specific Primitives - Optimization to Meet Required Area & Performance Constraints - Synplify, ISE XST 布局布线 - Map Primitives to Specific Locations inside Target Technology with Reference to Area & Performance Constraints 9

10 FPGA 设计流程 t clk 时序分析 - Verify Performance Specifications Were Met - Static Timing Analysis 门级仿真 - Timing Simulation - Verify Design Will Work in Target Technology PC Board Simulation & Test - Simulate Board Design - Program & Test Device on Board -Use ChipScope Pro for Debugging 10

11 LogiCORE Application Areas Basic Elements Multiplexers, parallel-to-serial converters DSP Functions Time skew buffers, FIR filters, correlators Math Functions Accumulators, adders, multipliers, integrators, square root Memories PCI Pipelined delay elements, single and dual-port RAM Synchronous FIFOs Master and slave interfaces, PCI bridge 11

12 FPGA 设计基本原则 乒乓结构和流水线设计 异步时钟的处理 同步状态机的设计 毛刺的消除 12

13 乒乓操作 应用于数据流控制 通过 输入数据选择单元 和 输出数据选择单元 按节拍 相互配合的切换, 将经过缓冲的数据流没有停顿地送到 数据流运算处理模块 进行运算与处理 节约缓存空间 13

14 数据通道 - 多级 RAM 乒乓结构 以定长包为单位的处理 提高数据处理的并行性 提高数据传输速率 隔离时钟域之间的冲突 66MHz, 33MHz,8MHz 双口 RAM 与同步器 14

15 流水线操作 流水线技术几乎是最常用的提供系统工作速率的强有力手段 它是面积换取速度思想的又一种具体体现 15

16 流水线操作 考虑一个电路每个时钟周期执行 N 个操作, 工作频率为 F 我们可以认为吞吐量为 N*F ops/sec Pipeline 本质上是通过增加 F 来提高吞吐量, 达到 latency 和 area 的 tradeoff 什么决定了最高工作频率呢? 回忆 static timing analysis 在 flip flops 之间的最大延时 16

17 流水线操作 (STA) 时序电路之间的组合电路延时决定整个电路的速度, 即最大工作时钟频率, 故不可太复杂 最小时钟周期 :T=Tco+Tdelay+Tsetup 最快时钟频率 :F= 1/T 17

18 解决方式 (PIPELINE 技术 ) 通过将 Algorithm 分为两个部分, 在中间插入触发器 18

19 流水线技术 其思想是利用寄存器将一条长路径切分成几段小路径, 从而达到提高工作速率的作用 假设原路径延时为 t, 加入 2 级流水线并且假设路径切割均匀, 则路径延时可以减少到约 t/3, 从而系统速率可以提高到原来的 3 倍左右 当然要注意的是输出同时会往后推迟 3 个时钟周期 所以采用流水线技术时, 要记得进行时序调整 19

20 流水线设计例子 设计 din1 + din2 + din3 + din4 结果输出给 dout din1 din2 dout din3 din4 20

21 PIPELINE 程序实例 未用 PIPELINE 技术前的程序 : 采用 PIPELINE 技术后的程序 : clk) if((a+b+c) == d).. clk) begin e <= a+b+c; if(e ==d).. end 21

22 FPGA 设计基本原则 乒乓结构和流水线设计 异步时钟的处理 同步状态机的设计 毛刺的消除 22

23 多时钟系统 许多系统要求在同一设计内采用多时钟, 最常见的例子是两个异步微处理器之间的接口, 或微处理器和异步通信通道的接口 由于两个时钟信号之间要求一定的建立和保持时间, 所以上述应用引进了附加的定时约束条件, 它们会要求将某些异步信号同步化 23

24 多时钟系统设计 如果一个系统中存在多个独立 ( 异步 ) 时钟, 并且存在多时钟域 (clock domain) 之间的信号传输, 那么电路会出现亚稳态 adat bdat din aclk bclk aclk adat bclk bdat 24

25 消除亚稳态 ---- 同步化 din aclk bclk adat bdat1 bdat bdat2 Sync_a2b aclk adat bclk bdat1 bdat1 25

26 亚稳态分析 出现亚稳态的平均时间间隔常用 平均无故障时间 (MTB F,Mean Time Between Failure) 来表示 单个触发器的 MTBF 为 温度 电压 辐射等因素都对 MTBF 有影响 26

27 两个寄存器方案分析 优点 : 实现简单. 成本低. 缺点 : 不能完全消除亚稳态 导致延时增加. 27

28 同步化 28

29 多时钟系统 在许多应用中只将异步信号同步化还是不够的, 当系统中有两个或两个以上非同源时钟的时候, 数据的建立和保持时间很难得到保证, 设计人员将面临复杂的时间分析问题 最好的方法是将所有非同源时钟同步化 这时就需要使用带使能端的 D 触发器, 并引入一个高频时钟来实现信号的同步化 29

30 同步化任意非同源时钟 Data in D PRN Q Data out 3M_CLK D PRN Q D PRN Q 3M_EN ENA CLRN CLRN CLRN Data in D PRN Q Data out 5M_CLK D PRN Q D PRN Q 5M_EN ENA CLRN CLRN CLRN 20M_CLK 30

31 异步多时钟系统模型 aclk Domain bclk Domain aclk aclk Logic Logic asig Sync_ Sync_ a2b a2b bclk bclk Logic Logic bsig aclk bclk 31

32 注意其信号命名和模块划分方法 这种信号命名和模块划分的方法有如下优点 : 有利于检查信号所通过的时钟域 ; 有利于各模块进行单独的静态时序分析 ; 有利于在静态时序分析中快速地设定 false path; 异步信号穿越时钟域时, 这些信号与异步时钟之间的相位关系数是无穷的, 所以在整个系统静态时序分析时必须忽略这些信号路径 32

33 数据接口的同步方法 输入 输出的延时 ( 芯片间 PCB 布线 一些驱动接口元件的延时等 ) 不可测, 或者有可能变动的条件下, 如何完成数据同步? 最常用的缓存单元是 DPRAM 和 FIFO, 在输入端口使用上级时钟写数据, 在输出端口使用本级时钟读数据 33

34 多时钟系统设计的经典案例 - 异步 FIFO 关于多时钟域数字系统设计的方法, 可以参考 Synthesis and Scripting Techniques for Designing Multi-Asynchronous Clock Designs,Clifford E. Cummings 34

35 思考 当输入信号小于一个时钟周期, 比如快时钟域的信号进入慢时钟域信号 35

36 时钟质量保证 时钟的纯净 : 时钟最好不要通过任何组合电路, 或者不要用组合电路产生时钟 ; 时钟的单一 : 数字系统设计时, 应该尽量减少时钟的数目, 最好整个系统只有一个时钟 36

37 异步设计的注意事项小结 注意使用同步化电路来对异步信号进行同步 ; 采用 FIFO 方式是解决问题的最好的方法, 但需要注意 FIFO 的深度的设定以及 FIFO 门限的控制 ; 进行科学的模块划分和信号命名 ; 尽量减少握手控制信号的数目, 以避免同步化造成的信号拉伸而破坏控制信号之间的相位关系 ; 快时钟域信号进入慢时钟域时, 要注意信号丢失的避免和检测 ; 37

38 FPGA 设计基本原则 乒乓结构和流水线设计 异步时钟的处理 同步状态机的设计 毛刺的消除 38

39 同步状态机的概念 状态寄存器由一组触发器组成, 用来记忆当前状态 ; 所有触发器都连接在一个时钟信号上 ; 状态的改变只在时钟沿到来时才发生, 由触发器类型决定是上升沿还是下降沿 ; 驱动方程决定了状态机的下一个状态, 驱动方程是输入信号和当前状态的组合函数 ; 状态机的输出是由输出函数得到, 它也是当前状态和输入信号的函数 ; 目前一般都采用 D 触发器进行状态机的设计 39

40 同步状态机的概念 Moore 状态机 : 输出仅与当前状态有关 Melay 状态机 : 输出与当前状态和输入信号都有关 40

41 状态机的表示方法 1 方法一 : 状态转换表 输入 当前状态 下一状态 输出

42 状态机的表示方法 2 方法二 : 算法流程图 方法与软件程序的流程图类似 状态转换表和算法流程图都不适合复杂系统的设计 42

43 状态机的表示方法 3 入 方法三 : 状态转换图 状态 4 / 出 入 状态 1 / 出 入 状态 3 / 出 入 条件控制定序 状态 2 / 出 直接控制定序 这是最流行的表示方法 Moore 43

44 状态机的表示方法 3 方法三 : 状态转换图 状态 1 条件控制定序 入 / 出 这是最流行的示方法 入 / 出 状态 4 入 / 出 状态 2 Mealy 状态 3 / 出 入 / 出 直接控制 定序 44

45 状态机的应用设计 例子 : 设计一个二进制序列检测器, 当检测到 序列时, 就输出 1( 一个时钟周期的脉冲 ) 其他情况下输出 0 规定检测到一次之后, 检测器复位到最初始的状态, 重新从头检测 如下所示 : 输入 : 输出 :

46 状态转换图设计 (Moore) Sx/0 Reset 0 S5/ S0/0 S1/0 0 s0 1 s1 s2 s3 s4 s5 S4/ S3/0 问题 1: 如何保证状态机在初始时状态为 s0? 问题 2: 在状态机跑飞, 即脱离有效状态 (s0 s5) 时, 如何使状态机能恢复工作 0 S2/0 1 46

47 波形 波形如下图所示 当前状态输入时钟输出 s s1 s2 s3 s4 s5 问题 : 如果需要将输出脉冲往前推一个时钟周期, 该如何修改设计? 发现当当前壮态为 s4, 并且输入为 0 时, 输出为 1 47

48 状态转换图设计 (Mealy) sx Reset /0 S0 0/0 S5 0/1 0/1 1/0 s0 s1 s2 s3 s4 s5 s0 0 1/0 /0 1/0 S1 0/0 0/0 1/0 0/0 S4 S3 1/0 S2 1/0 48

49 One Hot State Machine What is One Hot each state within the State Machine is represent by ONE BIT e.g. Four State Machine : state0, state1, state2, state3 can be repr esented by 4 bits : (One Hot) One Hot State Machine mainly gives us performance but it consume more logic 49

50 Binary State Machine What is Binary State Machine each state within the State Machine is encode by bits e.g. Four State Machine : state0, state1, state2, state3 can be re presented by 2 bits : (Binary) Binary State Machine mainly consume less logic but the performance usually is slower can be more than one bit change from state to state (01 -> 10) both bits changed 50

51 Gray Code State Machine What is Grey Code State Machine each state within the State Machine is encode by bits e.g. Four State Machine : state0, state1, state2, state3 can be re presented by 2 bits : (Gray Code) Gray Code State Machine mainly consume less logic but the performance usually is slower ONLY one bit change from state to state (01 -> 11) one bit changed 51

52 One-hot 编码 ( 优点 ) 速度快 : 用于对下一个状态的译码和输出信号的译码所需要的组合电路很小, 因此电路速度较快 对于特定设计, 有可能找到速度更快的编码方式, 但是如果设计修改后, 需要增加或者减少状态时, 或者改变状态机之间的转换关系时, 所得到最佳编码有可能就不再是最佳, 需要重新去进行设计 但是对于 one-hot 编码来说, 增加和减少状态只是简单地触发器数目的增加, 总能达到较高的速度, 可称为准最佳的状态分配 52

53 One-hot 编码 ( 优点 ) 由于状态机仅有一个触发器的输出为 1, 很容易发现当前状态是否非法, 便于调试 因为它可能会占用比较多的触发器资源, 一般情况下,one-hot 比较适合具有较多触发器资源, 而组合逻辑资源相对较少的器件, 如 FPGA 但实际上由于其需要的组合逻辑资源减少, 它实际消耗的资源并不比 Binary Encode 方式多很多 53

54 状态机设计中, 状态编码采用 Binary 编码和 One-hot 编码对系统会造成什么样的性能影响? 这两种编码对 FPGA/CPLD 的适用情况如何? S0 S1 S2 S3 Binary One-hot

55 解答 :one-hot 编码方式只用一个 bit 来表示一个状态, 这大大缩小了状态译码的组合电路规模, 使得路径延时更小, 因此状态机的时钟可以运行在更高的频率上 特例 : 不妨想象该状态机就是一个循环计数器, 如果采用 binary 编码, 则该计数器存在明显的组合电路 ; 而如果采用 one-hot 编码, 该计数器的综合结果就是一个移位寄存器序列, 根本不存在任何组合门! 55

56 用 Verilog 实现状态机 前面介绍的 3 种不同编码方式, 体现在具体编程时, 只是在状态分配时不一样, 而状态机的功能实现基本上没有太多的区别 用 case 语句来建立状态机模型, 可方便地实现状态转换 ; case 语句最后, 要有最后一个分支 default 项 状态机应该有一个异步或同步复位端, 以便在通电时, 将其复位到有效状态 状态赋值可通过 parameter 或 `define 来进行 56

57 Binary Encode parameter A = 2'b00, B=2'b01,C = 2'b10; (state or in) begin next_state = A; next_out = 1 b0; case(state) A: begin if(inx) begin next_state = C; next_out = 1 b1; end else begin next_state = A; next_out = in; end B: ******** C: ******** default : begin next_state = A; next_out = 1 b0; end endcase end 57

58 One-hot Encode parameter A = 3'b001, B=3'b010,C = 3'b100; (state or in) begin next_state = A; next_out = 1 b0; case(state) A: begin if(inx) begin next_state = C; next_out = 1 b1; end else begin next_state = A; next_out = in; end B: ******** C: ******** default : begin next_state = A; next_out = 1 b0; end endcase end 58

59 状态机的输出 (posedgeclkor negedgerst) begin if(!rst) begin state <= A; out<=1 b0; end else begin state <= next_state; out <= next_out; end end 59

60 状态机的置位和复位 异步置位和复位 与时钟无关 需要在敏感信号列表中加入触发条件 同步置位和复位 只在时钟有效跳变沿到来时, 才对状态机进行置位或复位 敏感信号列表中不能列出触发条件 60

61 异步置位和复位 (posedge clk or posedge rst or posedge set) begin if(rst) q<=0; else if(set) q<=1; else q<=d; end (posedge clk or negedge rst or negedge set) begin if(!rst) q<=0; else if(!set) q<=1; else q<=d; end 61

62 同步置位和复位 (posedge clk) begin if(rst) q<=0; else if(set) q<=1; else q<=d; end (posedge clk) begin if(!rst) q<=0; else if(!set) q<=1; else q<=d; end 62

63 Moore 状态机的 Verilog 实现 `timescale 1ns/100ps module state4 (clock, reset, out); input reset, clock; output [1: 0] out; reg [1: 0] out; parameter // 状态变量枚举 statea = 4 b0000, stateb = 2 b0001 reg [3: 0] state, nextstate; // 定义时序逻辑 posedge clock) if (reset) // 同步复位 state <= statea; else state <= nextstate; state) // 定义下一状态的组合逻辑 case (state) statea: begin nextstate = stateb; out = 2 b00; // 输出决定于当前状态 end stated: begin nextstate = statea; out = 2'b00; end endcase endmodule 总结 :2 个并行模块 1) always block: 下一状态的组合逻辑 2) always block: 更新状态的时序逻辑 63

64 Mealy 状态机的 Verilog 实现 module FSM_name (Clock, Resetn, input_signal, output_signal); input Clock, Resetn, input_signal; output output_signal; reg [3:0] state_present, STATE_NEXT; parameter [3:0] STATE1 = 4'b0000, STATE2 = 4'b0001. ; // Define the next state combinational circuit and outputs or state_present) case (state_present) STATE1: if (input_signal) define output and next state; else define output and next state; STATE2: if (input_signal) define output and next state; else define output and next state; default: define output and next state; endcase 总结 :2 个并行模块 1) always block: 下一状态的组合逻辑和输出 2) always block: 更新状态的时序逻辑 // Define the sequential block Clock) if (Resetn == 0) state_present <= STATE1; else state_present <= STATE_NEXT; endmodule 64

65 FPGA 设计基本原则 乒乓结构和流水线设计 异步时钟的处理 同步状态机的设计 毛刺的消除 65

66 冒险现象 信号在 FPGA 器件内部通过连线和逻辑单元时, 都有一定的延时 延时的大小与连线的长短和逻辑单元的数目有关, 同时还受器件的制造工艺 工作电压 温度等条件的影响 信号的高低电平转换也需要一定的过渡时间 由于存在这两方面因素, 多路信号的电平值发生变化时, 在信号变化的瞬间, 组合逻辑的输出状态不确定, 往往会出现一些不正确的尖峰信号, 这些尖峰信号称为 毛刺 如果一个组合逻辑电路中有 毛刺 出现, 就说明该电路存在 冒险 66

67 存在逻辑冒险的电路示例 A B C D INPUT VCC INPUT VCC INPUT VCC INPUT VCC OUTPUT OUT Name: A B C D OUT Value: 100.0ns 200.0ns 300.0ns 400.0ns 毛刺信号 67

68 毛刺的消除 毛刺产生的机理 竞争和冒险 延时不平衡 线间干扰 毛刺消除的方法 竞争冒险的避免 Gray Coding 寄存器消除 其他 时序电路中, 异步复位 时钟等输入端出现毛刺时, 都会引起系统的误动作 68

69 毛刺产生的机理 毛刺产生的机理 竞争和冒险 ( 可以从逻辑函数的卡诺图或逻辑函数表达式来进行判断 ) 导致毛刺 组合延时, 布线延时的不平衡, 导致译码输出毛刺 线间的信号耦合, 导致毛刺的产生 实际上可以认为, 不管是否出现竞争冒险, 只要是纯组合译码输出的电路, 就可能会产生毛刺 69

70 延时不平衡导致的毛刺 : 计数器 dout 导致 dout 输出毛刺 clk 正常信号变化 : 实际信号变化 :

71 毛刺消除的方法 (1) 竞争与冒险的避免 Gray 编码方法计数器 ( 状态机 ) 电路中, 采用 Gray 编码可以避免总线上的多个 bi t 同时在一个时钟周期内翻转而导致毛刺 如上一页图中的计数器即可采用这种编码 ( 附 :Gray 码在任何相邻的两组代码中, 仅有一位数码不同 ) 注 :Gray 码方法也是降低设计功耗的一个常用手段, 因为它降低了寄存器的电平翻转率 采用格雷码计数器 同步电路等, 可以大大减少毛刺, 但它并不能完全消除毛刺 71

72 毛刺消除的方法 (2) 寄存器消除 : 寄存器的数据输入端 D 和时钟使能端 EN 对毛刺不敏感, 因此可以利用 D 和 EN 来吸收毛刺信号 72

73 寄存器采样 ( 利用 D 端 ) 计数器 vec d 寄存器 > q clk clk vec d q 73

74 寄存器采样 ( 利用 D 端 ) A B C D INPUT VCC INPUT VCC INPUT VCC INPUT VCC CLK D PRN Q CLRN OUTPUT OUTPUT TEST OUT CLK INPUT VCC Name: Value: 200.0ns 400.0ns 600.0ns 800.0ns A B C D CLK TEST 0 OUT 0 采样时钟 毛刺信号 去除毛刺后的输出信号 74

75 FPGA 设计的注意事项 75

76 设计文档 一个完整的软件是由程序 数据和文档三部分组成的 在 FPGA 电路设计中, 撰写完善的设计文档是非常重要的 对于一个比较复杂的设计来说, 各个子单元的功能各不相同, 实现的方法也不一样, 各子单元之间信号时序和逻辑关系也是纷繁复杂的 因此, 在设计文档中对整个设计进行详细的描述, 可以保证使用者能够在较短时间内理解和掌握整个设计方案, 同时设计人员在对设计进行维护和升级时, 完善的设计文档也是非常有用的 76

77 设计文档的内容 (1) 设计所要实现的功能 ; (2) 设计所采用的基本思想 ; (3) 整个设计的组织结构 ; (4) 各个子单元的设计思路 ; (5) 各个子单元之间的接口关系 ; (6) 关键节点的位置 作用及其测试波形的描述 ; (7) I/O 引脚的名称 作用及其测试波形的描述 ; (8) 采用的 FPGA 器件的型号 ; (9) 片内各种资源的使用情况 ; (10) 该设计与其它设计的接口方式等 77

78 软件思维 硬件思维的转变 在电路描述时, 必须摒弃软件思维方式, 一切从硬件的角度去思考代码的描述 在具体的项目实践中, 必须先画好模块的接口时序图, 然后画出或者在脑子里形成模块的内部原理框图, 最后才是代码实现 企图一开始就依靠 软件算法 思维进行代码实现, 最后才分析时序和电路图, 是非常不可取的 硬件思维的形成, 需要一定的硬件设计训练才能达到, 熟练了之后才可能科学地在初始阶段完成模块划分和时序设计 78

79 时序的设计 时序是设计出来的, 不是仿出来的, 更不是湊出来的 先写总体设计方案和逻辑详细设计方案 总体方案主要是涉及模块划分, 模块之间的接口信号和时序 Logic Design 的难点在于系统结构设计和仿真验证 提高代码覆盖率 79

80 设计规范化 设计文档化 设计思路, 详细实现等写入文档 代码规范化 Title : hdbne -- Project : hdbn File : hdbne.vhd -- Author : Allan Herriman -- Organization : Opencores -- Created : 9 Aug Platform : -- Simulators : Any VHDL '87, '93 or '00 compliant simulator will work. Tested with several versions of Modelsi m and Simili. -- Synthesizers : Any VHDL compliant synthesiser will work (tested with Synplify Pro and Leonardo). -- Targets : Anything (contains no target dependent features except combinatorial logic and D flip flops wit h async-reset or set) Description : HDB3 or HDB2 (B3ZS) encoder. -- P and N outputs are full width by default. Half width pulses can be created by using a double rate clock and -- strobing ClkEnable and OutputEnable appropriately (high every second clock). -- HDB3 is typically used to encode data at 2.048, and Mb/s. B3ZS is typically used to encode dat a at Mb/s -- The outputs will require pulse shaping if used to drive the line. -- These encodings are polarity insensitive, so the P and N outputs may be used interchangeably (swapped) Reference : ITU-T G

81 文件的头信息 所有源文件中都应包含头信息 内容 作者信息 修改记录 目标描述 可用参数 复位机制和时钟 关键时序 异步接口 测试方法 应该有一个标准模板 81

82 端口 顺序 每行一个端口, 并准确注释 先列输入信号, 再列输出信号 参考顺序 : 时钟 复位 使能 其它控制信号 地址总线 数据总线 82

83 设计中注意事项 - 软件设计 合理规划设计 敏感信号的选取 一个模块尽量只用一个时钟 尽量在底层模块上做逻辑, 在高层尽量做例化, 顶层模块只能做例化, 禁止出现任何胶连逻辑 (glue logic) 进入 FPGA 的信号先同步 避免使用 latch 多看 RTL 门级电路 多用同步电路, 少用异步电路 (reset 全局异步, 本地同步 ) 多用全局时钟, 少用门控时钟 所有模块的输出都要寄存器化, 以提高工作频率, 这对设计做到时序收敛也是极有好处的 83

84 设计中注意事项 - 软件设计 FSM FSM 与 non-fsm 逻辑的分割 组合逻辑与时序逻辑的分割 状态向量的编码方法 缺省 ( 复位 ) 状态的分配 避免在顶层使用 glue logic 避免在端口映射中使用表达式 84

85 关于寄存输入和寄存输出 数字系统中, 各模块应采取 ( 寄存输入和 ) 寄存输出, 这样做有如下优点 : 1. 模块化清晰 ( 特别是寄存输出 ); 2. 提高系统最高工作速率 ; 3. 有利于整个系统和单个模块分别进行静态时序分析 85

86 HDL 描述方式 ( 管脚输入信号处理 ) 输入电路 rst or posedge clk) if(!rst) calc <= 0; else calc <= dina + dinb; dina,dinb 对应于芯片的输入管脚 输入电路 rst or posedge cl k) if(!rst) begin in_rega <= 0; in_regb <=0; end else begin in_rega <= dina; in_regb <= dinb; end rst or posedge cl k) if(!rst) in_regb <=0; else calc <= in_rega + in_ regb; 86

87 HDL 描述方式 ( 管脚输出信号处理 ) or tempb) case(tempa) 0: dout <= tempb+1; 1: dout <= tempb+3;.. Default : dout <= 0; endcase tempa,tempb 对应于芯片的输出管脚 rst or posedge clk ) if(!rst) dout <= 0; else case(tempa) 0: dout <= tempb+1; 1: dout <= tempb+3;.. Default : dout <= 0; endcase 87

88 设计中注意事项 - 硬件设计 下载配置方式的选取 供电电压 VCCINT VCCIO 电源的滤波 空闲 I/O 的处理 时钟的走线 输出调试信号 器件选取 88

89 设计中注意事项 - 硬件设计 (con) 引脚连接 pin to pin 兼容 I/O 引脚上的信号电压必须满足 GND V Vcc 不用的保留 I/O 引脚应当不连接 输出负载 ( 大多为阻性和 / 或容性 ) 保证目标器件能够提供负载所需要的电流和速度 对于阻性负载 ( 如 TTL 输入 终端总线和分立的双极型晶体管 ), 输出电流的驱动能力决定了最大负载, 同时能维持必要的输出电压, 如果不满足要求, 需要使用高电流缓冲器或者分立的电流开关 应将器件输出端的负载电容减至最小, 如信号通路彼此垂直, 信号走线尽量短, 一个源驱动多个负载时使用大电流缓冲器信号到所有负载的时间相等 89

90 设计中注意事项 - 硬件设计 (con) 电源使用 Vcc 和 GND 平面 去耦电容 高速 PCB 板的设计 噪声 信号反射 地线毛刺 进行电源滤波与电源分配 信号与传输线的端接 阻抗匹配和端接电阻 串扰 地线毛刺 90

91 选型指南 特殊要求 逻辑单元 I/O 个数驱动能力工作环境 PLL DSP 模块 RAM 大小硬件乘法器个数 91

92 设计实例 92

93 目标 完成本单元的学习后你将会 通过交织器的设计掌握通信链路常见的验证思想 通过数据适配器的设计, 了解包传输电路的处理思路, 并能将乒乓结构用于实际 93

94 交织器 Burst errors spread over many code words Write by Rows Read by Columns 94

95 交织器的设计 ( 存储器最少方案 ) 按照行写入 列读出的方式, 可以在写入第 15 行的第一个数据之后, 就开始按照列读出的方式输出第 1 行第 1 列的数据 这样最少需要的存储单元为 16x14+1=225 个, 可以比全存储的方案节省 31 个存储单元 但是这样的方案会大大增加控制的复杂度, 这时候一个完整的帧数据有一部分按照列写入的写入, 有一部分按照行写入的方式写入, 并且由于存储空间的不规则, 造成了读出数据时要进行复杂的判断 所以这个方案里节省的存储空间, 可能会得不偿失的被控制电路消耗掉 95

96 性能评估 寄存器 :225 个 1 位的寄存器 多路选择器 :1 个 225 选 1 的多路选择器 控制逻辑 : 很复杂 96

97 交织器的设计 ( 全存储 + 地址选择方案 ) 对于每个长度为 256bit 的数据帧, 全部存储之后再按照交织方式发送 对于第一帧数据, 按照行写入 列读出 对于第二帧数据, 是紧接着第一帧数据接收到的, 所以只能存储在第一帧数据中已经被读出的存储单元里, 所以是列写入 行读出 依此类推, 之后第三帧数据为行写入 列读出, 第四帧数据为列写入 行读出 并且第一帧当前被读出的数据和第二帧当前要写入的数据是同一个地址, 这个地址信号的寻址范围是 0~255, 并且始终是增一寻址的, 所以只需要一个 8 位的加法器就可以了 对于行写入 列读出和列写入 行读出这两种方式, 只需要将 8 位地址的高低各 4 位互换, 即可实现存储矩阵行列的转置 对于读出的数据, 需要一个 256 选 1 的 mux 选择当前对哪个存储单元进行读出第一帧数据和写入第二帧数据的操作 97

98 性能评估 寄存器 :256 个 1 位的寄存器 多路选择器 :1 个 256 选 1 的多路选择器, 如果换算成用 2 选 1 的 mux 来实现的话, 需要 =255 个 2 选 1 的 mux 控制逻辑 : 简单 98

99 方案选择 综合考虑上面的两种方案, 第二种方案有明显的不足, 虽然能节省有限的存储单元, 但是控制过于复杂 ; 第一种方案控制很简单 所以选择第一种方案, 即全存储 + 地址选择的方案 99

100 系统结构 控制单元 : 计数器从 0~255 计数, 对应 16x16 矩阵的 25 6 个存储单元的地址 存储单元 : 根据控制单元给出的计数值作为地址信号, 将输入数据 din 写入相应地址的存储单元, 并读出这个存储单元的值 100

101 测试方案设计 伪随机序列发生器产生伪随机序列发送到交织器 1 进行第一次交织 ; 交织器 1 的输出送入交织器 2 进行第二次交织 ; 交织器 2 的输出送入伪随机序列校验器进行校验, 输出为 1 101

102 64K 数据适配器的设计 102

103 HDB3 编码规则 将 0 码保持不变, 把 1 码变为 +1-1 交替的脉冲 出现四个连零用取代节取代 当相邻破坏点 V 中间有奇数个原始传号 ( 不包括 B 码 ) 时, 用 000V 取代用,V 码与它前面的传号极性相同 当相邻破坏点 V 中间有偶数个原始传号 ( 不包括 B 码 ) 时, 用 B00V 取代,B 码和 V 码与它前面一个原始传号 ( 或 V 码 ) 极性相反 对于全零码,HDB3 编码为 , 即 B00VB00VB00V 消息序列 HDB3 码 V B 0 0 V V 103

104 编码设计思路 设计模型是 Mealy 有限状态机 有限状态机设计中状态的选取和描述是设计的核心 从 HDB3 编码规则中提取合理的状态 码组变量 codes (4 3 ), 它包括了 0,1,V,B 码 奇偶变量 parity(2), 反映了破坏点 V 之间原始传号个数的奇偶性 极性变量 polarity(2) 状态转移的描述了 正常移位 取代节取代 极性翻转 奇偶统计 HDB3 编码器的 VHDL 设计 hdb3enc1 利用 VHDL 中的枚举类型 (s0,s1,sv,sb) 分别表示码位状态 0,1,V,B 104

105 总结 数字系统设计应该明确如下观点 : 无论是 ASIC FPGA 还是 DSP, 都只是一种实现手段 ; 无论采用哪种 HDL 或哪种开发工具, 都不能单纯从语言或工具本身作出评价 ; 关键是看应用环境, 只有选择最适合于应用的实现方式和工具才是最好的设计方案 ; 设计应该注重硬件设计本身, 只有先有了良好的设计, 才可能有高效的描述和实现 数字模拟电路 HDL 语言等知识和实际经验比了解软件更重要 105

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E Gerolor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 12 12 1 1 0 0 2 2 31 31 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 2mm Keyed (A) 1.0'

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :483 第十讲时序逻辑 时序元件 ( 锁存器 ) 佟冬 Microprocessor &D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2fall 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 :

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

HC70044_2008

HC70044_2008 Page: 1 of 9 Date: July 10, 2008 KORENIX TECHNOLOGY CO., LTD. 9 F, NO. 100-1, MING-CHUAN RD., SHIN TIEN CITY, TAIPEI, TAIWAN The following merchandise was submitted and identified by the vendor as: Product

More information

Designing a Custom AXI Peripheral

Designing a Custom AXI Peripheral 1 2014 Xilinx All Programmable 客户技术培训 1Designing a Custom AXI Peripheral 2014.1 2 16252**slide Introduction What AXI signals do I need and what are their names? How do I design an AXI peripheral? How does

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

龍華科技大學數位典藏論文

龍華科技大學數位典藏論文 龍 華 科 技 大 學 電 子 工 程 研 究 所 碩 士 學 位 論 文 使 用 FPGA 完 成 低 成 本 霍 夫 曼 碼 解 碼 器 Using FPGA Hardware Implementation of Huffman Decoder 研 究 生 : 周 文 正 指 導 教 授 : 吳 東 旭 博 士 中 華 民 國 九 十 九 年 七 月 摘 要 論 文 名 稱 : 使 用 FPGA

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

Fun Time (1) What happens in memory? 1 i n t i ; 2 s h o r t j ; 3 double k ; 4 char c = a ; 5 i = 3; j = 2; 6 k = i j ; H.-T. Lin (NTU CSIE) Referenc

Fun Time (1) What happens in memory? 1 i n t i ; 2 s h o r t j ; 3 double k ; 4 char c = a ; 5 i = 3; j = 2; 6 k = i j ; H.-T. Lin (NTU CSIE) Referenc References (Section 5.2) Hsuan-Tien Lin Deptartment of CSIE, NTU OOP Class, March 15-16, 2010 H.-T. Lin (NTU CSIE) References OOP 03/15-16/2010 0 / 22 Fun Time (1) What happens in memory? 1 i n t i ; 2

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

PowerPoint Presentation

PowerPoint Presentation Verilog HDL 的基本知识 周立功 Actel 产品线 作者简介 20 世纪 60 年代毕业于清华大学自控系计算与技术专业 北京航空航天大学教授, 主要的研究领域为嵌入式数字系统的设计 夏宇闻教授 1995 年开始筹建我国首个 EDA 实验室, 在其后十几年间为航天部设计多个复杂数字电路 2006 年至今受聘于神州龙芯集成电路设计公司担任技术顾问 概述 数字通信和自动化控制等领域的高速度发展和世界范围的高技术竞争对数字系统提出了越来越高的要求,

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

课题调查对象:

课题调查对象: 1 大 陆 地 方 政 府 大 文 化 管 理 职 能 与 机 构 整 合 模 式 比 较 研 究 武 汉 大 学 陈 世 香 [ 内 容 摘 要 ] 迄 今 为 止, 大 陆 地 方 政 府 文 化 管 理 体 制 改 革 已 经 由 试 点 改 革 进 入 到 全 面 推 行 阶 段 本 文 主 要 通 过 结 合 典 型 调 查 法 与 比 较 研 究 方 法, 对 已 经 进 行 了 政 府

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

数字电路设计中的时钟设计

数字电路设计中的时钟设计 FPGA/CPLD 数字电路设计经验分享 摘要 : 在数字电路的设计中, 时序设计是一个系统性能的主要标志, 在高层次设计方法中, 对时序控制的抽象度也相应提高, 因此在设计中较难把握, 但在理解 RTL 电路时序模型的基础上, 采用合理的设计方法在设计复杂数字系统是行之有效的, 通过许多设计实例证明采用这种方式可以使电路的后仿真通过率大大提高, 并且系统的工作频率可以达到一个较高水平 关键词 :FPGA

More information

untitled

untitled 2005 3 13 Introduction Circuit and system representation Design strategies Introduction Circuit and system representation Design strategies Four Phases in Creating a Chip This Lecture Other Lecture Other

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

行业

行业 PCI-1727U 快 速 安 装 使 用 手 册 PCI-1727U 快 速 安 装 使 用 手 册... 1 第 一 章 产 品 介 绍... 2 1.1 概 述...2 1.1.1 即 插 即 用 功 能...2 1.1.2 灵 活 的 电 压 输 出 范 围...2 1.1.3 板 卡 ID...2 1.2 特 点 :...2 1.3 选 型 指 导...2 第 二 章 安 装 与 测 试...

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

untitled

untitled Ogre Rendering System http://antsam.blogone.net AntsamCGD@hotmail.com geometry systemmaterial systemshader systemrendering system API API DirectX OpenGL API Pipeline Abstraction API Pipeline Pipeline configurationpipeline

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information