数字逻辑设计2013

Size: px
Start display at page:

Download "数字逻辑设计2013"

Transcription

1 第三讲逻辑门电路 ogic Gte Circuit 佟冬

2 课程回顾 布尔代数 6 个公设 个定理 用于开关函数的化简 开关函数 ( 种表示方法 ) 直值表 布尔表达式 (SOP, POS) 最小范式和最大范式 非确定项 ( 无关项 ) 2

3 如何做一个能计算的设备? 人的计算方法 计算方法描述 ( 输入 输出 行为 ) 布尔函数 数据编码 积之和 SOP 真值表 和之积 POS? 开关电路 组合电路 计算设备

4 如何做一个能计算的设备? 人的计算方法 计算方法描述 ( 输入 输出 行为 ) 布尔函数 数据编码 积之和 SOP 真值表 和之积 POS 逻辑门电路? 开关电路 组合电路 计算设备 4

5 逻辑电路 电信号和逻辑值 在电路中, 用电压的高低来表示逻辑值 高电压 不稳定 低电压 电信号 V m V V V min 正逻辑 ( 真 ) ( 假 ) 逻辑值 负逻辑 ( 假 ) ( 真 ) 一个信号被置为逻辑 称为有效的或者真 一个信号被清为逻辑 称为无效的或者假 高有效信号 ( 正逻辑 ) 和低有效信号 ( 负逻辑 ) 信号的极性 (Polrity) 表示信号是高有效或是低有效 5

6 逻辑门 逻辑门 (ogic Gte) 是实现一个逻辑操作的电路 ( 系统 ), 是组成电路的最小的逻辑单元 信息的流向 : 输入端 输出端 输入和输出在数量上是有限的, 并且承载二进制的电信号 输入信号到输出信号的转换可以用逻辑操作的模型来表示 X Gte Z=f (X, ) 6

7 逻辑门和布尔函数的关系 X 逻辑门 Gte Z=f (X, ) X f ( X, ) f ( X, ) X 开关函数 真值表 7

8 两输入逻辑门 6 种可能的逻辑门 X F X 6 种可能的函数 (F F5) X nd X X or X or X = X nor not (X or ) not not X X nnd not (X nd ) 8

9 逻辑门的符号表示 逻辑门可以用一个图形符号表示 扇入 (Fn-in): 输入信号的个数 一般门的扇入是 2,, 4, 8 等等 用图形符号的形状和其中的字符表示逻辑门的功能 图形符号的输入或输出的圆泡 (ules) 表示该信号是低有效信号 ( 负逻辑 ) 两种标准 标准符号 IEEE 块符号 9

10 两种标准的符号表示 AND f(, ) = AND & f(, ) = OR f(, ) = + OR f(, ) = + NOT f() = NOT f() = NAND f(, ) = NAND & f(, ) = NOR f(, ) = + NOR f(, ) = + EXCUSIVE OR f(, ) = EXCUSIVE OR = f(, ) = Symol set Symol set 2 (ANSI/IEEE Stndrd 9-984)

11 基本功能部件 () 与门 (AND) f AND (, ) = A B A B A B (c) & () () (d) () 与门的逻辑功能 () 与门的电子功能 (c) 标准符号表示 (d) IEEE 块符号表示 功能 () 正逻辑 : 输入同时为, 输出为 任意输入为, 输出为 () 通过的功能

12 基本的功能部件 (2) 或门 (OR) f OR (, ) = + A B A B A B (c) () () (d) () 或门的逻辑功能 () 或门的电子功能 (c) 标准符号表示 (d) IEEE 块符号表示. 功能 () 正逻辑 : 输入同时为, 输出为 任意输入为, 输出为 () 通过的功能 2

13 基本功能模块 (4) 非门 (NOT) A f NOT () = A (c) A () () (d) () 非门的逻辑功能 () 非门的电子功能 (c) 标准符号表示 (d) IEEE 块符号表示 功能 () () 取反功能当输入为 时, 输出的为

14 基本功能模块 (5) 负逻辑中与门的用法 () 与门的真值表 ( =, = ) () 替代与门的符号 ( 负逻辑 ), 低电平有效 (c) 推荐的使用 (d) 不适当的使用 y A B () A B () f OR (c) (d) (, y ( ) ( ) f (, ) OR ) y = + y = 4

15 基本功能模块 (6) 或门在负逻辑中的用法 A B () A B () () 或门的真值表 ( =, = ) () 替代或门的符号 ( 负逻辑 ) (c) 推荐的使用 (d) 不适当的使用 y f AND (, y ( ) ( ) f (, ) AND (c) (d) y = y = + ) 5

16 基本功能模块 (7) 例子 : 设计烟感警报系统 部件 : 两个烟感探测器, 一个鸣叫器, 一个自动电话报警器 行为 : 当两个烟感器中的任何一个检验到烟, 鸣叫器报警 当两个烟感器同时检验到烟, 自动向消防局打电话报警 信号 : D, D2 : 两个烟感器输出的低有效信号 SPK : 鸣叫器的低有效输入信号 : 自动电话报警器的低有效输入信号 逻辑方程 DIA SPK D D2 DIA D D2 6

17 基本功能模块 (8) 烟感报警系统的逻辑图 烟感器 D D2 G D + D2 鸣叫器 SPK 烟感器 2 G2 D D2 电话报警 DIA 7

18 基本功能模块 (9) 与非门 f NAND (, ) = () A B () A B A B A B & (c) () 与非门的逻辑功能 () 与非门的电子功能 (c) 标准符号表示 (d) 替代符号 ( 负逻辑 ) (e)ieee 块符号表示 (d) (e) 8

19 9 基本功能模块 () 与非门的输入极性要匹配 () 推荐的使用 () 不适当的使用 与非门的附加特性 NAND 可以用来实现所有 种基本逻辑操作 () () y y y y ), ( ), ( ), ( ), ( ) ( ), ( f f f f f f OR NAND AND NAND NOT NAND

20 基本功能模块 () AND, OR, NOT 门可以用 NAND 门来构造 f(, ) = = f(, ) = = AND gte NOT gte OR gte f(, ) = + = + 2

21 基本功能模块 (2) 或非门 f NOR (, ) = + () A B () A B A B A B (c) () NAND 逻辑功能 () NAND 的电子功能 (c) 标准符号 (d) 替代符号 ( 负逻辑 ) (e) IEEE 块符号 (d) (e) 2

22 22 基本功能模块 () 信号极性与 NOR 门的输入输出匹配 () 推荐用法 () 不适当用法 NOR 门的附加特性 可以用于非门实现所有 个基本逻辑操作. () () y y y y ), ( ), ( ), ( ), ( ) ( ), ( f f f f f f AND NOR OR NOR NOT NOR

23 基本功能模块 (4) 用 NOR 门构造 AND, OR, nd NOT 门 + f(, ) = + f(, ) = + = OR gte NOT gte AND gte f(, ) = = 2

24 基本功能模块 (5) 异或门 (XOR) f XOR (, ) = = A B A B f XOR (, ) = A B = () XOR 逻辑功能 () XOR 门电子功能 (c) 标准符号 (d) IEEE 块符号 功能 () 相同为, 不同为 () 通过, 取反 24

25 基本功能模块 (6) XOR 的积之和 POS ( )( ) 一些有用的性质 = = = = = ( 交换率 ) ( c) = ( ) c( 结合律 ) ( c)= c( 分配率 ) 25

26 基本功能模块 (7) XOR 门的输出有效, 当且仅当输入的和为 sum(, ) sum(, ) =? f(, ) = Flse True True 2 Flse XOR 的输出是输入的模 2 和 ( 实现加法器 ) 二进制加法运算 二进制乘法运算 异或运算 与运算 + = + = + = + = = = = = = = = = = = = = 26

27 27 布尔表达式的环和 (Ring-Sum) 表示 所谓函数的环和表示 (RSE) 就是以常量和与项的异或为函数的表达形式 2 2) ( ) 2 )( ( 2 ) ( ) (

28 基本功能模块 (8) 异或非门或同或门 (XNOR) f XNOR (, ) f XNOR (, ) = () () XNOR 逻辑功能 () XNOR 门电子功能 (c) 标准符号 (d) IEEE 块符号 A B () A B A B (c) = (d) 功能 () 相同为, 不同为 () 通过, 取反 28

29 基本功能模块 (9) XNOR 的 SOP 和 POS ( )( ) [P2] [T8()] [T8()] [P5()] [P6(), P2()] = 29

30 逻辑门传播延迟 传播延迟 (Propgtion delys) 需要考虑的数字电路的物理特性 传播延迟 门的扇入 (fn-in) 和扇出 (fn-out) 限制 功耗 规模和重量 传播延迟 : 输入变化和相应输出变化时间的延迟 电路复杂性 工艺 门的扇出 温度 芯片电压等等

31 传播延迟 两种典型的传播延迟参数 t P = 低电平到高电平输出的传输延迟 t P = 高电平到低电平输出的传输延迟 近似传播延迟 逻辑门的传播延迟 t PD t P t 2 c P c () Two-input AND gte () Idel (zero) dely c c t PD t PD (c) t PD = t P = t P t P (d) t P < t P t P

32 逻辑电路 逻辑电路 (logic circuit) 是相互连接的逻辑门 电路输入端口和电路输出端口的有限集合 电路应该满足以下的约束条件 : 门的输出端不能和另一个门的输出端连接 门的输入端只能连接常值或者另一个门的输出端或者一个电路的输入端口 电路输入端口不能连接电路另一个输入端口或者一个门的输出端口 电路输出端口只能连接常值或者一个电路输入端口或者一个门的输出端 总之 : 门的输出不能连接在一起!!! 2

33 逻辑电路的基本概念 逻辑电路的大小 (Size) 指所包含门的个数 逻辑电路的深度 (depth) 指电路中最长路经包含的门的个数

34 逻辑电路类型 组合电路 (Comintionl Circuit) 输出端口的信号值仅由输入端口的信号值确定 时序电路 (Sequentil Circuit) 与组合电路不同的其它情况 X X 组合电路 时序电路 反馈信号 4

35 半加器 (lf Adder) s c i i ( i ( i,, y y i i ) ) i i y y i i i y i 输入 i y i i s i 逻辑功能 A i y i c i s i y i 输出 c i si () 硬件电路是并行的!!! () 输入 (c) 逻辑功能 输出 c i 5

36 全加器 (Full Adder) c in c in c out s c out Full_dder s s = c in c out = + c in + c in (c) () I I2 () X X2 s O I c in A A2 A 2 R c out O2 I I2 I X X2 A A2 A R O O2 IN IN IN XOR2 XOR2 AND2 AND2 AND2 OR OUT OUT c in s 2 c out s c out c in c in c in 2 6 () ()

37 7 总结 布尔代数 6 个公设 个定理 用于开关函数的化简 开关函数 直值表 布尔表达式 (SOP, POS) 最小范式和最大范式 ( 简化表示 ) 开关函数的简化 开关电路 逻辑值和信号 : 正逻辑和负逻辑 基本功能部件 :AND, OR, NOT, NAND, NOR, XOR, XNOR

38 总结 模拟世界 A/D D/A 数字世界 编码 存储存储 输入 门级网络处理 组合的 时序的 输出 8

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

数字逻辑设计2013

数字逻辑设计2013 第七讲卡诺图 组合电路的化简 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/23spring 如何做一个能计算的设备? 人的计算方法 计算方法描述 ( 输入 输出 行为 ) 布尔函数 数据编码 积之和 SOP 真值表 和之积 POS? 开关电路 组合电路 计算设备 2 如何做一个能计算的设备? 人的计算方法 计算方法描述

More information

数字逻辑设计2013

数字逻辑设计2013 第四讲 Verilog, FPGA, Lab 佟冬 tongdong@pku.edu.cn http://mprc.pku.edu.cn/courses/digital/28spring 课程回顾 : 布尔函数 将一个开关函数 f 对于其变量每种可能取值的结果用表的形式表示 对应逻辑 真 ; 对应逻辑 假 三个基本函数 : 与 (AND) 或 (OR) 非 (NOT) 的真 值表 a b f(a,

More information

数字逻辑与数字系统

数字逻辑与数字系统 数字逻辑与数字系统 胡伟邮箱 :whu@nju.edu.cn http://ws.nju.edu.cn/~whu 一 目的要求 数字逻辑是电子计算机技术的基础课程之一, 通过本课程的学习, 达到要求 : 1. 掌握数字电子技术的基本理论, 基础知识和基 本技能 2. 熟悉数字集成电路的工作原理, 特性和功能 3. 具备正确运用数字集成电路的能力 4. 掌握逻辑电路的分析方法和设计方法 二 与其他课程的关系

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :483 第十讲时序逻辑 时序元件 ( 锁存器 ) 佟冬 Microprocessor &D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2fall 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 :

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

数字逻辑设计2013

数字逻辑设计2013 数字系统逻辑设计 总复习 佟冬 tongdong@pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2018spring 期末考试和大作业 Lab 检查 期末考试 : 日期 :2018 年 6 月 28 日 时间 : 14:00-16:00 地点 :2 教 203 提示 : 带铅笔和橡皮, 用于画电路图 大作业检查 日期 :6 月 21 日和 6 月

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 : 483 第六讲开关函数的化简 卡诺图 佟冬 Microprocessor R& enter tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2fall 课程回顾 : 组合电路的分析与综合 分析应用问题 文字规范说明 开关函数真值表时序图其它 功能描述 最小项范式 SOP 最大项范式 POS 开关函数 化简??

More information

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力,

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力, 臺 北 市 議 會 公 報 書 面 質 詢 及 答 覆 第 10 屆 第 8 次 定 期 大 會 (99.7.12 至 99.9.29) 議 員 書 面 質 詢 全 文 (7) 141 質 詢 日 期 : 中 華 民 國 99 年 8 月 23 日 質 詢 議 員 : 黃 向 羣 質 詢 對 象 : 臺 北 市 交 通 管 制 工 程 處 臺 北 市 新 建 工 程 處 質 詢 題 目 : 新 生

More information

政府服務品質獎 服務規劃機關 參獎申請書

政府服務品質獎 服務規劃機關 參獎申請書 建 構 托 育 管 理 制 度 實 施 計 畫 (104 年 -107 年 ) ( 核 定 本 ) 104 年 5 月 7 日 目 錄 壹 計 畫 緣 起 1 一 依 據. 1 二 未 來 環 境 預 測. 2 三 問 題 評 析. 6 貳 計 畫 目 標.. 9 一 目 標 說 明. 9 二 達 成 目 標 之 限 制.. 11 三 預 期 績 效 指 標 及 評 估 基 準. 12 參 現 行

More information

中北大学常规事项财务报销操作指南

中北大学常规事项财务报销操作指南 中 北 大 学 常 规 事 项 财 务 报 销 操 作 指 南 一 办 公 费 报 销 指 南 定 义 : 办 公 费 是 单 位 购 买 按 财 务 会 计 制 度 规 定 不 符 合 固 定 资 产 标 准 的 日 常 办 公 用 品 书 报 杂 志 等 支 出 通 俗 讲 是 指 办 公 场 所 使 用 的 低 值 易 耗 品 办 公 用 品 的 类 别 : 纸 薄 类 笔 尺 类 装 订 类

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 2 章逻辑代数基础 第 2 章逻辑门 2. 逻辑函数 2.2 逻辑门描述 2.3 逻辑门电路实现 2.4 集成逻辑门 第 2 章逻辑代数基础 2. 逻辑运算 2.. 三种基本运算 自然界中许多事物之间存在着一定的逻辑关系 其中 与 或 和 非 是三种基本的逻辑关系. 逻辑与关系 ( 与运算 / 逻辑乘 ) 逻辑 与 关系是指事物之间的这样一种逻辑关系 : 设有三个事件, 和 C 事件 C 的发生与否,

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持 第 一 篇 知 己 知 彼, 百 战 不 殆 基 本 评 估 篇 第 一 章 认 识 自 我 我 就 是 一 座 金 矿 人 啊, 认 识 你 自 己! 塔 列 斯 ( 希 腊 学 者 ) 要 想 知 道 去 哪 儿, 必 须 先 知 道 你 现 在 在 哪 儿 和 你 是 谁 茜 里 娅. 德 纽 斯 ( 美 国 职 业 指 导 学 家 ) 本 章 提 要 了 解 认 识 自 我 在 职 业 生

More information

没有幻灯片标题

没有幻灯片标题 第四章 组合逻辑电路 4. 组合电路的分析 4.2 组合电路的设计及典型组件介绍 4.3 中规模组合逻辑组件的灵活应用 4.4 组合电路中的竞争 - 冒险现象 当前的输入逻辑电路组合电路 时序电路 功能 : 输出只取决于 组成 : 门电路, 不存在记忆元件 功能 : 输出取决于 组成 : 组合电路 当前的输入 记忆元件 原来的状态 4. 组合电路的分析任分析 : 给定逻辑图务给定设计 : 逻辑功能

More information

图 1 门电路实现全加器仿真图 (7) 放置输入源 单击工具栏中的按钮, 在图 1 的输入端放置 DCLOCK 型激励源 然后双击打开其编辑框, 如图 2 所示, 对其数值进行修改 本实验中, 输入 A 的周期设置为 4s, 输入 B 的周期设置为 2s, 输入 CI 的周期设置为 1s 图 2 激

图 1 门电路实现全加器仿真图 (7) 放置输入源 单击工具栏中的按钮, 在图 1 的输入端放置 DCLOCK 型激励源 然后双击打开其编辑框, 如图 2 所示, 对其数值进行修改 本实验中, 输入 A 的周期设置为 4s, 输入 B 的周期设置为 2s, 输入 CI 的周期设置为 1s 图 2 激 数字全加器操作说明书 本实验将分别采用 (1) 门电路 (2) 数据选择器 (3) 二进制译码器三种不同逻辑器件实现 1 位全加器的逻辑电路功能 具体的实验步骤及操作方法分述如下 1. 使用小规模集成电路 ( 门电路 ) 实现全加器 (1) 根据 1 位全加器的逻辑功能, 写出 1 位全加器的逻辑真值表, 如表 1 所示 输入 输出 表 1. 1 位全加器的逻辑真值表 A 0 0 0 0 1 1 1

More information

《捕捉儿童敏感期》

《捕捉儿童敏感期》 捕 捉 儿 童 敏 感 期 出 版 前 言...7 第 一 章 4 个 孩 子 的 敏 感 期 故 事...8 妞 妞 (0 4 岁 )... 8 黑 白 相 交 的 地 方... 8 旋 转... 9 就 不 要 新 帽 子... 9 小 霸 王... 10 诅 咒... 10 畅 畅 (0 4 岁 )... 11 世 界 就 是 味 道... 11 对 接... 12 宝 贝, 你 的 玩 具

More information

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋 學年度 1 國中基本學力測驗 國文考科試題解析 一 單題 1-34 題 1. 本以為這次的計畫萬無一失 沒想到 最後竟無法實行 下列詞語 何者最 適宜填入 中 (A)亡羊補牢 (B)百密一疏 (C)咫尺天涯 (D)千鈞一髮 答 案 B 命題出處 與南一版第二冊第十課吃冰的滋味應用練習第二大題 詞語辨用 題型內涵同 試題解析 題幹中 計畫萬無一失 最後 竟然無法實行 故選(B) (A)亡羊補牢 丟失了羊

More information

untitled

untitled 1917~2006 ( ) 讀 龍 良 龍 來 便 來 便 老 兩 老 了 便 龍 老 更 不 龍 老 離 牢 了 念 便 了 ( ) 省 ( ) 年 6 年 ---- 95 年 ( ) AD1884 年 陸 讀 盧 北 年 葉 蘭 兩 了 葉 蘭 ( ) 來 林 瑩 兩 勵 立 陵 識 離 見 六 年 惡 力 量 年 不 更 歷 練 了 數 更 留 見 六 六 年 紐 不 流 兩 見 來 落 落

More information

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33 附 件 3 吉 林 省 公 布 的 低 价 药 品 清 单 1 甲 苯 咪 唑 片 吉 林 省 第 一 批 低 价 药 2 双 羟 萘 酸 噻 嘧 啶 片 吉 林 省 第 一 批 低 价 药 3 布 洛 芬 ( 缓 释 胶 囊 缓 释 片 颗 粒 ) 吉 林 省 第 一 批 低 价 药 4 复 方 对 乙 酰 氨 基 酚 片 吉 林 省 第 一 批 低 价 药 5 萘 普 生 片 ( 胶 囊 ) 分

More information

穨飲食與養老_決定版_.PDF

穨飲食與養老_決定版_.PDF 1 *...... 1 * 1 ( 1986) 2 2 3 4 5 2 3 ( 1984) ( ) ( ) 4 5 ( 1986) 407 3 6 7 6 ( 1992) 1293 1296 7 1278 4 5. 8 9 10 8 ( 1987) 6 7 9 ( 1986) 58 10 8 6 11 12 1. 183 ( ) 13 2. 72 14 3. 4.75 4. 4. 75 11 9 89

More information

untitled

untitled AD1897----1931 () 寧 () 年 22 年 ---- 20 年 () 年 更 麟 來 () 1. 年 12 讀 異 15 年 20 北 行 禮 年 六 22 北 23 留 拉 24 紐 倫 25 倫 羅 離 26 林 27 林 林 不 離 女 29 陸 北 識 30 陸 戀 北 不 不 31 陸 北 梁 32 說 黎 鱗 冷 1 / 15 33 梁 葉 參 35 說 輪 36 1119

More information

untitled

untitled 1 / 207 ...8 1...8 2...11 3...11...15 1...15 2...16 3...19 ---...22 1...22 2...23 3...24 4...26 5...30 6...34...37 1...37 2...37 3...38...39 1...39 2...44...48 1...48 2...50 2 / 207 ...51 1...52 2...53

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

数字逻辑设计2016

数字逻辑设计2016 数字系统逻辑设计 Digital System Logic Design 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2016spring 机器人 - 人工智能 - 大数据 - 云计算 - 虚拟现实 Cloud 云 2 课程介绍 欢迎进入数字世界! 3 4 集成电路的功耗问题 (Power) 5 集成电路的功耗问题

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

数字逻辑设计2016

数字逻辑设计2016 数字系统逻辑设计 Digital System Logic Design 佟冬 tongdong@pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2017spring 人工智能 - 大数据 - 物联网 - 云计算 - 网络安全 Cloud/Flog 云 / 雾 2 课程介绍 欢迎进入数字世界! 3 4 2016: 人工智能 AI 元年 5 AlphaGo

More information

上海浦~1

上海浦~1 上 海 浦 发 银 行 参 与 高 等 职 业 教 育 人 才 培 养 年 度 报 告 ( ) 一 校 企 合 作 概 况 ( 一 ) 企 业 简 介 上 海 浦 东 发 展 银 行 股 份 有 限 公 司 ( 以 下 简 称 : 浦 发 银 行 ) 是 1992 年 8 月 28 日 经 中 国 人 民 银 行 批 准 设 立 1993 年 1 月 9 日 开 业 1999 年 在 上 海 证 券

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

医院管理法规(十六)

医院管理法规(十六) ... 1... 8... 11... 15... 18... 26... 40... 44 I ( )... 57... 60 ()... 62... 73... 74... 77 3... 80 (1 )... 82... 116 ( )... 117 ( )... 120 ... 131... 136... 143... 145... 148... 152... 156... 171 ( )...

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

数字逻辑设计2013

数字逻辑设计2013 数字逻辑设计 Digital Logic Design 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2014spring 课程介绍 欢迎进入数字世界! 为什么学习逻辑设计? 显而易见的原因 本课程是计算机科学和计算机工程课程的一部分 是所有现代计算设备的实现基础 用小部件实现更大的系统 提供计算机如何工作的基础模型

More information

耿 知 冬 哈 哈 两 声 说 : 我 也 不 清 楚 不 过 你 看 看 我 是 不 是 你 小 姐 啊? 见 春 燕 点 头, 又 说 : 那 不 就 得 了 只 是 性 格 有 点 不 一 样 是 吧? 见 春 燕 又 点 头 就 继 续 说 : 因 为 我 是 死 过 一 次 的 人 了,

耿 知 冬 哈 哈 两 声 说 : 我 也 不 清 楚 不 过 你 看 看 我 是 不 是 你 小 姐 啊? 见 春 燕 点 头, 又 说 : 那 不 就 得 了 只 是 性 格 有 点 不 一 样 是 吧? 见 春 燕 又 点 头 就 继 续 说 : 因 为 我 是 死 过 一 次 的 人 了, 弃 妃 翻 身 : 我 的 皇 上 我 做 主 / 作 者 : 子 木 第 1 章 前 世 今 生 醒 了, 醒 了, 小 姐 醒 了 赶 紧 去 通 知 老 爷 和 夫 人 张 知 晓 迷 迷 糊 糊 中 就 听 到 有 人 在 说 话 等 张 知 晓 醒 来 时 看 到 一 张 圆 圆 脸, 一 双 水 灵 灵 的 大 眼 睛 很 是 漂 亮 就 对 她 说 : 去 把 文 子 给 我 找 来

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

上海市本科教学质量年度报告

上海市本科教学质量年度报告 上 海 市 本 科 教 学 质 量 年 度 报 告 数 据 内 涵 说 明 V2.0 版 上 海 市 教 委 高 教 处 上 海 喆 思 (2015.07.02) 目 录 一 基 本 统 计 挃 标 说 明... 4 二 挃 标 解 释... 4 1. 全 日 制 在 校 本 科 生 数 及 占 在 校 生 总 数 的 比 例 ( 学 年 )... 4 2. 当 年 本 科 招 生 与 业 总 数

More information

数字逻辑设计2013

数字逻辑设计2013 数字逻辑设计 Digital Logic Design 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2013spring 课程介绍 欢迎进入数字世界! 为什么学习逻辑设计? 显而易见的原因 本课程是计算机科学和计算机工程课程的一部分 是所有现代计算设备的实现基础 用小部件实现更大的系统 提供计算机如何工作的基础模型

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

福建福州农村商业银行股份有限公司信息披露制度

福建福州农村商业银行股份有限公司信息披露制度 龙 岩 市 永 定 区 农 村 信 用 合 作 联 社 2015 年 度 报 告 2016 年 4 月 26 日 - 1 - 目 录 第 一 章 重 要 提 示...- 3 - 第 二 章 释 义 及 重 要 提 示...- 3 - 第 三 章 基 本 情 况 简 介...- 4 - 第 四 章 会 计 数 据 和 财 务 指 标 摘 要...- 5 - 第 五 章 董 ( 理 ) 事 会 报 告...-8-

More information

VD处理过程中突发钢包漏钢事故

VD处理过程中突发钢包漏钢事故 冶 金 技 术 专 业 - 炉 外 精 炼 -VD 冶 炼 重 轨 钢 处 理 过 程 中 突 发 钢 包 漏 钢 事 故 - 胡 锐 案 例 名 称 VD 冶 炼 重 轨 钢 处 理 过 程 中 突 发 钢 包 漏 钢 事 故 本 案 例 适 合 中 职 高 职 职 业 本 科 等 院 校 冶 金 技 术 专 业, 在 转 炉 炼 钢 炉 外 案 例 说 明 精 炼 连 续 铸 钢 或 相 似 的

More information

3 次 了, 你 這 樣 叫 警 察 局 局 長 邱 豐 光, 這 樣 子, 我 不 敢 叫 邱 局 長, 我 都 說 報 告 局 長 我 若 有 公 文 要 給 你 們 時, 你 問 周 副 市 長, 我 都 叫 他 周 媽 媽, 你 問 問 周 媽 媽 她 比 你 年 輕 她 真 的 很 慈 祥

3 次 了, 你 這 樣 叫 警 察 局 局 長 邱 豐 光, 這 樣 子, 我 不 敢 叫 邱 局 長, 我 都 說 報 告 局 長 我 若 有 公 文 要 給 你 們 時, 你 問 周 副 市 長, 我 都 叫 他 周 媽 媽, 你 問 問 周 媽 媽 她 比 你 年 輕 她 真 的 很 慈 祥 市 政 總 質 詢 第 8 組 質 詢 日 期 : 中 華 民 國 104 年 11 月 6 日 質 詢 對 象 : 柯 市 長 文 哲 質 詢 議 員 : 林 瑞 圖 計 1 位 時 間 40 分 鐘 速 記 錄 104 年 11 月 6 日 速 記 : 簡 宇 元 主 席 ( 陳 副 議 長 錦 祥 ): 現 在 進 行 市 政 總 質 詢 第 8 組 質 詢, 質 詢 議 員 有 林 瑞 圖

More information

四、實習處發展計畫書

四、實習處發展計畫書 四 實 習 處 發 展 計 畫 書 ( 一 ) 計 畫 緣 起 知 識 經 濟 時 代 的 來 臨, 嚴 重 衝 擊 傳 統 職 業 教 育 的 定 位 與 功 能, 為 因 應 時 代 潮 流 的 改 變, 本 校 實 習 工 作 以 培 養 健 全 之 商 業 基 層 人 才 為 目 標, 考 量 社 會 結 構 及 就 業 市 場 變 遷, 悉 心 規 劃 各 科 專 業 課 程, 充 實 各

More information

Microsoft PowerPoint - Chap_4.ppt

Microsoft PowerPoint - Chap_4.ppt 组合逻辑电路 第四章组合逻辑电路 梁华国电子科学与技术系 http://dwxy.hfut.edu.cn/ 概述 组合逻辑电路分析 组合逻辑电路设计 考虑特殊问题的逻辑设计 若干常用的组合逻辑电路 组合逻辑电路中的竟争 - 冒险 概述 组合逻辑电路 组合逻辑电路的定义 : 是指电路在任何时刻产生的稳定输出信号, 仅取决于该时刻电路的输入信号 a a a n 组合逻辑电路 y y y f a a a

More information

Microsoft Word - 一年級--國文科試題.doc

Microsoft Word - 一年級--國文科試題.doc 一 年 班 座 號 : 姓 名 : 高 雄 市 立 正 興 國 中 100 學 年 度 第 2 學 期 第 2 次 段 考 一 年 級 國 文 科 試 題 科 目 代 碼 :01 一 國 字 注 音 10%) 1. 油 桐 ㄗˇ : 2. ㄔㄢ 扶 : 3. ㄌㄧㄣˋ 情 去 留 : 4. 黔 婁 : 5. 漩 渦 : 6. 擦 ㄕˋ 眼 淚 : 7. ㄍㄢ 尬 : 8. 混 吃 混 玩 : 9.

More information

HADL_General Terms of Delivery_chin

HADL_General Terms of Delivery_chin 凯 德 ( 东 莞 ) 机 械 有 限 公 司 的 销 售 及 交 货 规 定 条 款 此 规 定 只 适 用 于 与 本 公 司 有 商 业 往 来 的 公 司 法 人 以 及 特 种 基 金 1 适 用 范 围 销 售 及 交 货 条 款 适 用 于 我 们 所 有 与 交 货 相 关 的 各 种 服 务 当 我 方 在 客 户 提 出 相 反 或 变 更 条 件 时, 我 方 无 条 件 地

More information

Microsoft PowerPoint - vlsi_chapter08

Microsoft PowerPoint - vlsi_chapter08 第 8 章高速 MOS 逻辑电路设计 本章目录 8. 门延时 8. 驱动大电容负载 8. 逻辑努力 (ogical Effot) 8.4 BiMOS 驱动器 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 MOS 逻辑门的开关时间 上升时间 : t 下降时间 : t f t 0 t f 0 α p n α 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 参照晶体管

More information

Digital System Design I

Digital System Design I 逻辑化简 刘鹏 浙江大学信息与电子工程系 Mar. 12, 2015 1 复习 逻辑公式和表达 公式法 本节内容 公式法化简 卡诺图化简 2 2014 ZDMC 与 -AND 条件同时具备, 结果发生 Y= A AND B = A&B = A B = AB 真值表 /truth table 图形符号 复习 A B Y 国标 0 0 0 0 1 0 1 0 0 1 1 1 国际 3 或 -OR 条件之一具备,

More information

行 业 公 司 评 论 半 导 体 : 存 储 器 : 芯 片 国 产 化 之 路 癿 第 一 站 谭 志 勇 021-20655640 投 资 要 点 存 储 器 产 业 芯 片 国 产 化 之 路 迈 出 癿 重 要 一 步 : 芯 片 国 产 化

行 业 公 司 评 论 半 导 体 : 存 储 器 : 芯 片 国 产 化 之 路 癿 第 一 站 谭 志 勇 021-20655640 投 资 要 点 存 储 器 产 业 芯 片 国 产 化 之 路 迈 出 癿 重 要 一 步 : 芯 片 国 产 化 2016 年 09 月 19 日 证 券 研 究 报 告 晨 会 纪 要 (2016 年 09 月 19 日 ) 晨 会 纪 要 今 日 要 点 : 行 业 评 论 半 导 体 : 存 储 器 : 芯 片 国 产 化 之 路 癿 第 一 站 财 经 要 闻 : 1. 三 季 度 企 业 家 宏 观 经 济 热 度 挃 数 为 25.2%, 环 比 提 高 1.1 个 百 分 点 2. 国 务 院 启

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

摘要 本文讨论了计算机的基本数字逻辑电路结构与相关的功能模块, 分析 了使用人列实现计算的可行性, 揭示了计算实现方式的多样性, 为初等计 算机教育提供教育素材, 为下阶段的研究提供了基础理论的支持 关键词人列计算机 ; 逻辑电路 引言当下社会生产力高度建立在以计算机技术为基石的科学技术体系上 计算

摘要 本文讨论了计算机的基本数字逻辑电路结构与相关的功能模块, 分析 了使用人列实现计算的可行性, 揭示了计算实现方式的多样性, 为初等计 算机教育提供教育素材, 为下阶段的研究提供了基础理论的支持 关键词人列计算机 ; 逻辑电路 引言当下社会生产力高度建立在以计算机技术为基石的科学技术体系上 计算 第二十四届 冯如杯 学生创意大赛 关于 三体 中人列计算机的实现 2014 年 3 月 27 日 摘要 本文讨论了计算机的基本数字逻辑电路结构与相关的功能模块, 分析 了使用人列实现计算的可行性, 揭示了计算实现方式的多样性, 为初等计 算机教育提供教育素材, 为下阶段的研究提供了基础理论的支持 关键词人列计算机 ; 逻辑电路 引言当下社会生产力高度建立在以计算机技术为基石的科学技术体系上 计算机的组织结构精密有序,

More information

Microsoft Word - 2983.doc

Microsoft Word - 2983.doc ************** 目 次 ************** 巡 察 報 告 一 本 院 104 年 度 地 方 巡 察 第 1 組 報 告 ( 臺 北 市 ) 1 二 本 院 104 年 度 地 方 巡 察 第 1 組 報 告 ( 金 門 縣 ) 2 三 本 院 104 年 度 地 方 巡 察 第 1 組 報 告 ( 連 江 縣 ) 4 四 本 院 104 年 度 地 方 巡 察 第 2 組

More information

计算机组成原理

计算机组成原理 Computer Orgaizatio Priciples 计算机组成原理 主讲教师 : 孙鑫 (suxi@ouc.edu.c) ( 信息学院南楼,B3 室 ) http://cvpr.ouc.edu.c/people/com/ For Studets of Computer 25 计算机硬件系统组成 ( 章节分配 ) 总线和I/O 接口第二部分 控制器 运算器 (5,6 章 ) 第三部分( 4 7

More information

3 Ad 4 2

3 Ad 4 2 2 1 3 Ad 4 2 Ad 5 Ad 1mg 6 3 Ad 7 8 4 Ad, 9 (NA) 10 5 (NA) 2ml/1mg 11 12 6 (NA) 13 DA 14 7 DA 15 20mg DA 5ug/ /min 5 10ug/ /min20 50ug/ /min 500ug/kg/min 16 8 17 DA 18 9 19 20 10 21 22 11 2ml/1mg 23 M

More information

11JU2.mps

11JU2.mps 模 块 三 牛 生 产 技 术 模 块 目 标 本 模 块 要 求 了 解 牛 的 生 物 学 特 性 养 牛 场 的 规 划 与 建 设, 熟 悉 牛 的 主 要 品 种, 掌 握 奶 牛 及 肉 牛 的 饲 养 管 理 技 术 常 见 牛 病 的 防 治 技 术 目 项 一 牛 的 品 种 项 目 目 标 了 解 不 同 品 种 牛 的 原 产 地 及 培 育 史, 掌 握 主 要 品 种 牛

More information

Microsoft PowerPoint - STU_EC_Ch04.ppt

Microsoft PowerPoint - STU_EC_Ch04.ppt 樹德科技大學資訊工程系 Chapter 4: Boolean Algebra and Logic Simplification Shi-Huang Chen Fall 200 Outline Boolean Operations and Expressions Laws and Rules of Boolean Algebra DeMorgan's Theorems Boolean Analysis

More information

26 头 孢 他 啶 注 射 剂 27 头 孢 他 美 酯 口 服 常 释 剂 型 28 头 孢 吡 肟 注 射 剂 29 头 孢 硫 脒 注 射 剂 30 头 孢 唑 肟 注 射 剂 31 头 孢 替 安 注 射 剂 32 头 孢 哌 酮 注 射 剂 33 头 孢 哌 酮 舒 巴 坦 注 射 剂

26 头 孢 他 啶 注 射 剂 27 头 孢 他 美 酯 口 服 常 释 剂 型 28 头 孢 吡 肟 注 射 剂 29 头 孢 硫 脒 注 射 剂 30 头 孢 唑 肟 注 射 剂 31 头 孢 替 安 注 射 剂 32 头 孢 哌 酮 注 射 剂 33 头 孢 哌 酮 舒 巴 坦 注 射 剂 江 西 省 新 农 合 基 本 用 药 目 录 第 一 部 分 西 药 部 分 序 号 药 品 名 称 剂 型 备 注 一 抗 微 生 物 1. 抗 生 素 类 1 青 霉 素 注 射 剂 2 普 鲁 卡 因 青 毒 素 注 射 剂 3 苯 唑 西 林 注 射 剂 4 氨 苄 西 林 口 服 常 释 剂 型 注 射 剂 5 氨 苄 西 林 丙 磺 舒 口 服 常 释 剂 型 6 青 霉 素 V 口

More information

数字电子电路分析与应用 () 当医护人员治疗完全部呼叫病患后, 系统将自动恢复到待机状态 二 任务学习目标 知识目标 () 掌握组合逻辑电路的特点 () 掌握组合电路的分析 () 掌握组合电路的设计方法 () 掌握译码器 编码器等常用集成电路的设计使用 技能目标 () 熟悉多种电路元件和集成组合逻辑

数字电子电路分析与应用 () 当医护人员治疗完全部呼叫病患后, 系统将自动恢复到待机状态 二 任务学习目标 知识目标 () 掌握组合逻辑电路的特点 () 掌握组合电路的分析 () 掌握组合电路的设计方法 () 掌握译码器 编码器等常用集成电路的设计使用 技能目标 () 熟悉多种电路元件和集成组合逻辑 组合电路的应用 项目导读 数字电路按照逻辑功能的不同特点, 一般可分为组合逻辑电路和时序逻辑电路 本项目通过实例学习组合逻辑电路的应用 设计 制作等 在日常生活中, 我们经常遇到将数字信号编码 译码 显示的问题, 例如医院用的呼叫系统等 所以病房呼叫系统是一种对二进制数编码 译码并推动数码显示的电路 在知识拓展栏目还将学习常用的加法器和数值比较器等组合逻辑电路 任务 病房呼叫系统的设计 制作与调试

More information

内 容 提 要

内  容  提  要 第 一 卷 内 容 提 要 ( 刘 志 丹 ) 是 一 部 三 卷 集 的 长 篇 传 记 小 说 这 是 第 一 卷, 曾 于 1980 年 由 工 人 出 版 社 出 版 近 两 三 年 来, 在 党 的 十 一 届 三 中 全 会 精 神 鼓 舞 下, 作 者 以 惊 人 的 毅 力, 在 撰 写 二 三 卷 的 同 时 对 第 一 卷 又 进 行 了 重 大 修 改, 拟 在 文 化 艺 术

More information

zt

zt ! ! !"" #" $ !"#$ % & " ())! "# ( ( * % & * % (+() (%, !"#$ "%& ( % !"!#$% $%&!"%! %& ( !" #$ %$!#!" & !" #$%$ &" ( ( ) * !! " #!$!! %&!! % ( ( &% )* )" ") (! !"#!"#!"$!!%!#%!&!(!(!)*!**!!%*!$* #") #")

More information

西宁市商业网点规划纲要

西宁市商业网点规划纲要 00 ...1...3...4...6...10... 11 1 2 3 4 5 6 7 8 9 1 2 1 2001--2005 2005 2010 2 2003 63.58 ( ) 1999 10.01% 14.84 GDP114.83 12.92% 2.95 14.25 20.77% 2003 2996 10.2 111 150 36.20 3 7665 350 205 2010 4 1 2010

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

观 察 窗 关 乎 生 老 病 死 ( 一 ) 中 国 医 疗 卫 生 透 视 ( 曾 德 强 )71 人 格 风 范 德 乃 医 之 大 道 ( 王 永 清 )89 企 业 文 化 锡 器 礼 品 浅 谈 礼 品 文 化 走 进 安 康 之 二 ( 胡 伟 )95 组 工 干 部 之 歌 林 彦

观 察 窗 关 乎 生 老 病 死 ( 一 ) 中 国 医 疗 卫 生 透 视 ( 曾 德 强 )71 人 格 风 范 德 乃 医 之 大 道 ( 王 永 清 )89 企 业 文 化 锡 器 礼 品 浅 谈 礼 品 文 化 走 进 安 康 之 二 ( 胡 伟 )95 组 工 干 部 之 歌 林 彦 第 二 期 卷 首 语 坚 守 平 实 风 格 ( 张 永 强 )1 安 康 写 意 我 看 安 康 文 化 ( 李 春 平 ) 4 文 化 长 廊 超 越 梦 想 ( 杨 涛 )8 生 存 的 悖 论 与 人 性 的 异 化 谈 李 春 平 的 中 篇 小 说 一 路 ( 孙 鸿 )13 李 增 保 与 记 默 翁 书 法 大 家 陈 少 默 印 象 ( 赵 宏 勋 )18 癸 未 年 初 访 默

More information

MergerPdf.dll

MergerPdf.dll 2015 1 25) " " 319 "2015" "l4 ; " " ; " 330 " " ; ( ) :3030 : :580 9 20153 24 H ) C : @ : ( ) l2014]72 ) : ; : : ; : : 226 3 5 : l8 ; : : () ; :30303036 01399 :580226l9 0l5 ; ' ' : : : B: @@ 2 1125 ; a

More information

ebook105-1

ebook105-1 C D 1.1 0 1 0 1 2 ( 0 1 ) ( b i t s ) 0 1 1. 2. 0 1 3. ( ) 1-1 1-1 2 A B C A B C X Y 1.2 1.2.1 ( C D ) ( H D L ) H D L H D L J a v a C + + 1.2.2 C P U ( ) 1 3 1-2 C RT ( ) 1-2 ( C P U ) C P U C P U C P

More information

農 業 新 知 J 桃 園 區 建 f:! 訊 [ 草 花 專 輯 ] 第 6 3 期 2 番 茄 夜 蛾 長 桶 圓 形, 體 長 約 1 4 公 塵, 肥 胖 而 不 太 移 番 茄 夜 蛾 會 危 害 番 茄 等 果 菜 類 及 花 動 年 發 生 8-9 世 代, 初 孵 化 之 幼 蟲 群

農 業 新 知 J 桃 園 區 建 f:! 訊 [ 草 花 專 輯 ] 第 6 3 期 2 番 茄 夜 蛾 長 桶 圓 形, 體 長 約 1 4 公 塵, 肥 胖 而 不 太 移 番 茄 夜 蛾 會 危 害 番 茄 等 果 菜 類 及 花 動 年 發 生 8-9 世 代, 初 孵 化 之 幼 蟲 群 學 等 學 會 晶 體 步 會 會 作 物 環 境 課 副 研 究 員 施 錫 彬 分 機 3 1 0 花 卉 可 以 賞 心 悅 目, 美 化 人 生, 隨 著 社 會 繁 榮 與 進 步, 人 民 生 活 水 準 日 益 提 高, 花 卉 已 成 為 日 常 生 活 中 所 不 可 或 缺 的 物 品, 同 時 也 成 為 精 緻 化 重 要 商 品 然 台 灣 地 處 熱 帶 與 亞 熱 帶

More information

第3节 VHDL语言的常用语法

第3节 VHDL语言的常用语法 第 3 节 VHDL 语言的常用语法 [ 学习要求 ] 掌握 VHDL 硬件描述语言的基本描述语句 并可以利用这些语句进行简单 电路的设计 [ 重点与难点 ] 重点 : 常用的并行语句与顺序语句的语法 难点 : 部件 (Component 的定义与应用 [ 理论内容 ] 一 并行语句所谓的并行语句指采用这些语法生成的硬件电路在时间上可以并行 ( 或并发 ) 的执行 ( 运行 ) 这是 VHDL 语法必须具备的能力,

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

四、實務實習課程之實習工作日誌(請貼上掃描檔)

四、實務實習課程之實習工作日誌(請貼上掃描檔) 四 實 務 實 習 課 程 之 實 習 工 作 日 誌 ( 請 貼 上 掃 描 檔 ) 教 育 部 補 助 大 學 校 院 開 設 海 洋 主 題 導 向 專 業 課 程 計 畫 實 務 實 習 課 程 修 課 學 生 工 作 日 誌 表 ( 一 學 生 一 表 ) 課 程 名 稱 : 景 觀 規 劃 ( 海 洋 休 閒 ) 實 習 地 點 : 原 本 山 景 觀 有 限 公 司 時 間 工 作 說

More information

数理逻辑 I Mathematical Logic I

数理逻辑 I  Mathematical Logic I 前情提要 前情提要 一阶逻辑公理系统的元定理承自命题逻辑的元定理 : 演绎定理重言规则逆否命题反证法 前情提要 一阶逻辑公理系统的元定理承自命题逻辑的元定理 : 演绎定理重言规则逆否命题反证法 前情提要 一阶逻辑公理系统的元定理承自命题逻辑的元定理 : 演绎定理重言规则逆否命题反证法 前情提要 一阶逻辑公理系统的元定理承自命题逻辑的元定理 : 演绎定理重言规则逆否命题反证法 前情提要 一阶逻辑公理系统的元定理一阶逻辑特色的元定理

More information

4. 投 资 者 : 指 满 足 证 券 投 资 基 金 合 法 投 资 者 要 求 且 持 有 南 京 银 行 个 人 活 期 存 款 账 户 的 自 然 人 5. 基 金 账 户 : 指 为 提 供 基 金 份 额 登 记 服 务 的 登 记 机 构 为 投 资 者 开 立 的 记 录 其 持

4. 投 资 者 : 指 满 足 证 券 投 资 基 金 合 法 投 资 者 要 求 且 持 有 南 京 银 行 个 人 活 期 存 款 账 户 的 自 然 人 5. 基 金 账 户 : 指 为 提 供 基 金 份 额 登 记 服 务 的 登 记 机 构 为 投 资 者 开 立 的 记 录 其 持 鑫 元 基 金 与 南 京 银 行 鑫 钱 宝 业 务 服 务 协 议 甲 方 : ( 投 资 者 ) 乙 方 : 南 京 银 行 股 份 有 限 公 司 ( 以 下 简 称 南 京 银 行 ) 丙 方 : 鑫 元 基 金 管 理 有 限 公 司 ( 以 下 简 称 鑫 元 基 金 ) 根 据 国 家 有 关 法 律 法 规, 本 着 公 平 公 开 的 原 则, 经 三 方 友 好 协 商, 就

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

<4D6963726F736F667420506F776572506F696E74202D20CAFDD7D6BBAFD5BEB1A3BBA4CDA8D3C3BCBCCAF5D4ADD4F22E70707478>

<4D6963726F736F667420506F776572506F696E74202D20CAFDD7D6BBAFD5BEB1A3BBA4CDA8D3C3BCBCCAF5D4ADD4F22E70707478> 务 实 求 精 协 作 创 新 数 字 化 站 保 护 通 用 技 术 原 则 提 纲 建 设 目 的 和 意 义 关 键 技 术 存 在 问 题 及 解 决 思 路 智 能 站 新 技 术 通 用 技 术 原 则 要 点 2 目 的 和 意 义 智 能 变 电 站 的 概 念 ( 智 能 站 技 术 导 则 ): 采 用 先 进 可 靠 集 成 低 碳 环 保 的 智 能 设 备, 以 全 站 信

More information

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600,

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600, 0 1 1 1 2 19 2 3 33 3 4 45 4 5 57 5 6 71 6 8 83 8 10 95 10 12 107 12 15 119 15 18 131 18 21 143 21 24 155 2 2 167 2 3 179 [ ] 191 0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34.

More information

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 第 4 章数字集成电路 4. 逻辑代数运算规则 4.2 逻辑函数的表示与化简 4.3 集成门电路 4.4 组合逻辑电路 4.5 集成触发器 4.6 时序逻辑电路 4.7 存储器 *4.8 可编程逻辑器件 (PLD) *4.9 应用举例 概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 概述 集成电路是 6 年代初期发展起来的一种新型半导体器件

More information

*****专业人才培养方案

*****专业人才培养方案 连 锁 经 营 管 理 专 业 现 代 学 徒 制 人 才 培 养 方 案 ( 广 东 科 学 技 术 职 业 学 院 2014 年 自 主 招 生 ( 现 代 学 徒 制 ) 试 点 方 案 ) 一 学 制 及 招 生 对 象 学 制 : 学 分 制, 基 本 学 制 2 年 招 生 对 象 : 普 通 高 中 往 届 毕 业 生 和 中 等 职 业 学 校 应 往 届 毕 业 生 二 培 养 目

More information

1 20 4 14 1 6 14 103 12 840 3,211 102 24 4,198 1.89 100 1.15 0.74 444 328 1,418 103 12 1,120 79.0% 29 1 2.0% 94 4 6.6% 175 12.4% 46 367 25.9% 1,051 74

1 20 4 14 1 6 14 103 12 840 3,211 102 24 4,198 1.89 100 1.15 0.74 444 328 1,418 103 12 1,120 79.0% 29 1 2.0% 94 4 6.6% 175 12.4% 46 367 25.9% 1,051 74 51 104 4 23 12 1 12 1 103 8 236 103 400,005,260 152,000,000 38 248,005,260 62 11 4 3 1 2.1 4 1 4 1 4399 1 20 4 14 1 6 14 103 12 840 3,211 102 24 4,198 1.89 100 1.15 0.74 444 328 1,418 103 12 1,120 79.0%

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

教 师 资 格 考 试 之 面 试 备 考 指 导 中 公 教 育 教 师 考 试 研 究 院

教 师 资 格 考 试 之 面 试 备 考 指 导 中 公 教 育 教 师 考 试 研 究 院 课 程 咨 询 电 话 :0531-86554188 教 师 资 格 考 试 之 面 试 备 考 指 导 中 公 教 育 教 师 考 试 研 究 院 面 试 基 础 知 识 -- 面 试 的 概 念 -- 面 试 的 本 质 -- 面 试 的 形 式 -- 考 试 的 现 状 -- 考 核 的 依 据 过 渡 页 请 思 考 面 试 是 什 么? 面 试 的 概 念 面 试 是 指 特 定 的

More information

2005年中期报告.doc

2005年中期报告.doc 1 2005 6 30 2004 12 31 (%) 961,101,426.65 957,822,046.17 0.34 594,325,882.86 614,243,692.30-3.24 1,242,878,110.80 1,240,108,228.85 0.22 ( ) 596,435,264.07 573,812,873.22 3.94 3.07 2.96 3.72 3.03 2.90 4.48

More information

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos(

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos( 第一章三角函数 1. 三角函数的诱导公式 A 组 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C ( 中诱导公式 ) B. cos( B C) cos A D. sin( B C) sin A sin60 cos( ) sin( 0 )cos( 70 ) 的值等于

More information

Microsoft Word - zw

Microsoft Word - zw 第 1 章单片机基础知识概述 内容概述 : 本章主要介绍单片机的定义 发展历史, 单片机分类方法 应用领域及发展趋势, 单片机中数的表示和运算方法, 基本逻辑门电路, 以及与单片机系统仿真工具 Proteus 相关的内容 教学目标 : 了解单片机的概念及特点 ; 掌握单片机中数的表示和运算方法及基本逻辑门电路 ; 初步了解 Proteus 软件的功能 1.1 单片机概述 1.1.1 单片机及其发展概况

More information

PowerPoint Presentation

PowerPoint Presentation ITM omputer and ommunication Technologies Lecture #4 Part I: Introduction to omputer Technologies Logic ircuit Design & Simplification ITM 計算機與通訊技術 2 23 香港中文大學電子工程學系 Logic function implementation Logic

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

数学分析(I)短课程 [Part 2] 4mm 自然数、整数和有理数

数学分析(I)短课程 [Part 2]   4mm 自然数、整数和有理数 .. 数学分析 (I) 短课程 [Part 2] 自然数 整数和有理数 孙伟 华东师范大学数学系算子代数中心 Week 2 to 18. Fall 2014 孙伟 ( 数学系算子代数中心 ) 数学分析 (I) 短课程 Week 2 to 18. Fall 2014 1 / 78 3. 自然数理论初步 孙伟 ( 数学系算子代数中心 ) 数学分析 (I) 短课程 Week 2 to 18. Fall 2014

More information

PowerPoint Presentation

PowerPoint Presentation 完善保密加密 计算复杂性 香农 (Claude Elwood Shannon,1916 年 4 月 30 日 -2001 年 2 月 26 日 ), 美国数学家 电子工程师和密码学家, 被誉为信息论的创始人 裴士辉 QQ:1628159305 完善保密加密 2 离散的随机变量 定义一个离散的随机变量, 比方说 X, 由有限集合 X 和定义在 X 上的概率分布组成 我们用 Pr[X=x] 表示 随机变量

More information

FZUBRIDGE

FZUBRIDGE 1 2 3 5 8 9 10 11 12 13 14 15 16 17 19 20 21 23 24 25 29 31 32 33 34 M g1 M 1g ( M 2g M 1g )(1 e ( t, ) ) 35 36 M Q M Q g g 1.15M 1.05Q p p 37 max 1 n e max n i1 1 2 i 38 39 n max M Q M Q g g

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

1

1 第 1 頁, 共 4 頁 台 灣 師 大 附 中 98 學 年 度 第 1 學 期 高 二 歷 史 科 期 末 考 試 題 卷 自 然 組 班 級 : 座 號 : 姓 名 : 請 特 別 注 意 : 答 案 卡 上 的 基 本 資 料 請 勿 劃 記 錯 誤, 否 則 酌 扣 總 分 10 分 以 資 警 惕! * 單 選 題 ( 每 題 2.5 分, 答 錯 不 倒 扣 ) 1. 一 位 學 者

More information

序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能

序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能 Verilog HDL 数字系统设计 王建民田晓华 1 序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能力 硬件描述语言 (Hardware Description

More information

函数完备性 逻辑电路及人工神经网 命题逻辑的一个公理系统 与命题逻辑有关的逻辑谜题

函数完备性 逻辑电路及人工神经网 命题逻辑的一个公理系统 与命题逻辑有关的逻辑谜题 命题逻辑 (2) 哲学数学计算机中的逻辑课程 (2016 年秋 ) 王彦晶 北大哲学系 2016 年 10 月 20 日 函数完备性 逻辑电路及人工神经网 命题逻辑的一个公理系统 与命题逻辑有关的逻辑谜题 真值表语义 合取 conjunction ( ): 且, 析取 disjunction ( ): 或者, 否定 negation ( ): 并非 ( 实质 ) 蕴含 material implication

More information

Microsoft PowerPoint - chap02.ppt

Microsoft PowerPoint - chap02.ppt 第 2 章 HDL 入门指南 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn module- 模块 Verilog 描述的基本单位 用于描述电路的功能 结构及与其他 module 的通信端口 一个 module 表示一个设计, 其描述方式包括 : 数据流方式 连续赋值语句 行为方式 过程语句 结构方式 其他 module 和开关级原语 (primitive) 门级原语及用户定义的原语

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

chap07.key

chap07.key #include void two(); void three(); int main() printf("i'm in main.\n"); two(); return 0; void two() printf("i'm in two.\n"); three(); void three() printf("i'm in three.\n"); void, int 标识符逗号分隔,

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 数字逻辑设计 Digital Logic Design 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2010fall 欢迎进入数字世界! 2 课程介绍 课程名称 : 数字逻辑设计 课程类型 : 本科生必修课 学生专业 : 计算机

More information