SOM-TL18核心板规格书

Size: px
Start display at page:

Download "SOM-TL18核心板规格书"

Transcription

1

2 Revision History Draft Date Revision No. Description 2016/11/18 V 初始版本 公司官网 : 销售邮箱 公司总机 : /28

3 目 录 1 实验箱简介 软硬件参数 开发资料 电气特性 实验箱机械尺寸 产品认证 实验箱套件清单 技术支持 增值服务 更多帮助 附录 A 开发例程 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

4 1 实验箱简介 基于 TI OMAP-L138( 定点 / 浮点 DSP C674x+ARM9)+Xilinx Spartan-6 FPGA 处理器 ; OMAP-L138 与 FPGA 通过 upp EMIFA I2C 总线连接, 通信速度可高达 228MByte/s; OMAP-L138 主频 456MHz, 高达 3648MIPS 和 2746MFLOPS 的运算能力, 具备 SATA EMIFA upp USB 2.0 等高速数据传输接口 ; FPGA 兼容 Xilinx Spartan-6 XC6SLX9/16/25/45, 平台升级能力强 ; 通过高低温测试认证, 适合各种恶劣的工作环境 ; 66mm*38.6mm, 全国最小 DSP+ARM+FPGA 三核核心板, 采用工业级 B2B 连接器 ; 可充放电池电路, 提供多种标准工业接口, 可连多通道 AD DA 等模块, 拓展能力强 ; 支持裸机 SYS/BIOS 操作系统 Linux 操作系统 图 1 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

5 图 2 图 3 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

6 图 4 图 5 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

7 图 6 图 7 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

8 TL138F-TEB 是广州创龙一款基于 TI OMAP-L138( 定点 / 浮点 DSP C674x+ARM9)+Xilinx Spartan-6 FPGA 三核心可拆式新型嵌入式教学实验箱, 提供了丰富的教学实验例程, 并提供视频教程, 十分适合高校以及研究所等实验机构 可拆式实验箱 TL138F-TEB 可以用于师生项目开发, 相对传统的实验箱来说, 使用更加灵活, 性价比更高 2 软硬件参数 硬件参数 图 8 SOM-TL138F 硬件框图 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

9 图 9 Xilinx Spartan-6 FPGA 特性参数 图 10 实验箱主板 图 11 实验箱主板 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

10 图 12 实验箱拓展板 图 13 实验箱拓展板 公司官网 : 销售邮箱 公司总机 : /28

11 表 1 实验箱主板硬件参数 CPU ROM TI OMAP-L138, 浮点 / 定点 DSP C674x+ARM9 处理器, 双核主频 456MHz Xilinx Spartan-6 XC6SLX9/16/25/45 FPGA OMAP-L138 端 :128/256/512MByte NAND FLASH Spartan-6 端 :64Mbit SPI FLASH RAM OMAP-L138 端 :128/256MByte DDR2 EEPROM 1x AT24C02,256Byte 连接器 2x 80pin 公座 B2B,2x 80pin 母座 B2B, 间距 0.5mm, 共 320pin 2x 25pin IDC3 简易牛角座, 间距 2.54mm, EMIFA 拓展信号 2x 25pin IDC3 简易牛角座, 间距 2.54mm, FPGA GPIO 拓展信号 拓展 IO 2x 12pin 排针, 间距 2.54mm, 含 McASP GPIO 等拓展信号 3x 12pin PMOD 座,FPGA GPIO 拓展信号 2x 48pin 欧式公座连接器,FPGA GPIO 拓展信号 2x 供电指示灯 ( 底板 1 个, 核心板 1 个 ) LED 5x 可编程指示灯 ( 底板 3 个, 核心板 2 个 ) 5x 可编程指示灯 ( 底板 3 个, 核心板 2 个 ) 1x 系统复位按键 按键 3x 可编程输入按键 ( 含 1 个非屏蔽中断按键 ) 3x 可编程输入按键 仿真器接口 1x 14pin TI Rev B JTAG 接口, 间距 2.54mm 1x 14pin FPGA JTAG 接口, 间距 2.0mm 显示 1x LCD 触摸屏接口 启动方式接口 1x 5bit 启动方式选择拨码开关 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

12 SD 卡 1x Micro SD 卡接口 RTC 1x CR1620,3V 可充电 RTC SATA 1x 7pin SATA 硬盘接口 网络 1x RJ45 以太网口,10/100M 自适应 USB 接口 1x Micro USB 2.0 OTG 接口 4x USB 1.1 HOST 接口 2x RS232 串口,1x RS485 串口 (RS485 和 UART1 复用 ), 其中 UART2 使用 Micro USB 串口 接口,UART1 使用 DB9 接口 1x RS232 串口, 使用 DB9 接口 电源开关 1x 电源拨码开关 电源接口 1x12V 2A 直流输入 DC417 电源接口, 外径 4.4mm, 内径 1.65mm 拓展电源接口 2x 2pin 白色端子座, 间距 2.54mm, 提供 3.3V 和 5V 电源 备注 : 广州创龙 SOM-TL138F SOM-TL1808F SOM-TL6748F 核心板在硬件上 pin to pin 兼容 表 2 实验箱拓展板硬件参数 ADC ADI AD7606,8 通道,16bit,200K 采样率, 量程范围 ±5V 或 ±10V DAC TI TL5615, 单通道,10bit,1.21MHz 更新速率, 量程范围 0~5V 键盘直流电机步进电机蜂鸣器摄像头 4x 4 矩阵按键 2 个, 带霍尔传感器测速功能 1 个,5 线 4 相 1 个, 无源 OV2640,CMOS 摄像头,200 万像素 软件参数 表 3 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

13 ARM 端软件支持 DSP 端软件支持 CCS 版本号图形界面开发工具双核通信组件支持软件开发套件提供 裸机 Linux 操作系统 (Linux-3.3 Linux Linux ) 裸机 SYS/BIOS 操作系统 CCS5.5 Qt SYSLINK DSPLINK MCSDK DVSDK ISE 版本号 ISE 13.2 Linux 驱动支持 NAND FLASH SPI FLASH MMC/SD USB 1.1 HOST LED RS232 UART TL16C754C AUDIO TLV320AIC3106 Ethernet LAN8720 RMII 4.3in Touch Screen LCD VGA CS7123 ADC AD7606 ADC ADS8568 CMOS Sensor OV2640 USB 3G ZTE MC2716 USB Mouse DDR2 I2C EEPROM SATA USB 2.0 OTG BUTTON RS485 CAN MCP2515 Ethernet LAN8710 MII Ethernet LAN9221 EMIFA 7in Touch Screen LCD RTC ADC AD7656 DAC AD5724 Video Decoder TVP5147 USB WIFI RTL8188 USB Keyboard 3 开发资料 (1) 提供核心板引脚定义 可编辑底板原理图 可编辑底板 PCB 芯片 datasheet, 缩 短硬件设计周期 ; (2) 提供系统烧写镜像 内核驱动源码 文件系统源码, 以及丰富的 Demo 程序 ; 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

14 (3) 提供完整的平台开发包 入门教程, 节省软件整理时间, 上手容易 ; (4) 提供丰富的入门教程 开发案例, 含 OMAP-L138 与 FPGA 通信例程 ; (5) 提供详细的 DSP+ARM 双核通信教程, 完美解决双核开发瓶颈 ; (6) 提供基于 Qt 的图形界面开发教程 广州创龙提供了大量的开发资料, 是业内 OMAPL138 开发资料第一完善企业, 提供视频教程 中文数据手册, 创造了 OMAPL138 平台开发的新局面, 引领 OMAPL138+Spartan-6 DSP+ARM+FPGA 三核学习热潮, 已成为 OMAPL138 开发者的首选合作企业 部分开发例程详见附录 A, 开发例程主要包括 : 基于 ARM 端的裸机开发例程 基于 ARM 端的 Linux 开发例程 基于 DSP 端的裸机开发例程 基于 DSP 端的 SYS/BIOS 开发例程 基于 SYSLINK 的双核开发例程 基于 DSPLINK 的双核开发例程 基于 TL_IPC 的双核开发例程 基于 PRU 的汇编开发例程 基于 FPGA 端的开发例程 4 电气特性 核心板工作环境 表 4 环境参数 最小值 典型值 最大值 商业级温度 0 C / 70 C 工业级温度 -40 C / 85 C 工作电压 3.8V 5V 5.5V 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

15 核心板功耗 表 5 电压空载功耗 ( 仅上电, 不运行程序 ) 运行功耗 ( 运行程序 ) 3.3V 0.23W 0.95W 5 实验箱机械尺寸 表 6 名称 长 宽 高 实验箱箱体 40cm 32cm 22cm 实验箱主板 18cm 13cm / 实验箱拓展板 18cm 13cm / 6 产品认证 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

16 图 14 高低温测试 振动测试认证 7 实验箱套件清单 表 7 名称 TL138F-TEB 实验箱主板 TL138F-TEB 实验箱拓展板 TL-XDS100V2 仿真器 DLC9G FPGA 下载器 12V 2A 电源适配器实验箱资料光盘 数量 1 块 1 块 1 个 1 个 1 个 1 套 7 寸 LCD 触摸屏 1 个 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

17 SD 卡 SD 卡读卡器 RS232 串口线 USB 转串口线 Micro USB 线 50pin 软排线网线 OTG 转接头 1 个 1 个 1 条 1 条 1 条 2 根 1 根 1 个 8 技术支持 (1) 协助底板设计和测试, 减少硬件设计失误 ; (2) 协助解决按照用户手册操作出现的异常问题 ; (3) 协助产品故障判定 ; (4) 协助正确编译与运行所提供的源代码 ; (5) 协助进行产品二次开发 ; (6) 提供长期的售后服务 9 增值服务 主板定制设计 核心板定制设计 嵌入式软件开发 项目合作开发 技术培训 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

18 更多帮助销售邮箱 技术邮箱 创龙总机 : 技术热线 : 创龙官网 : 技术论坛 : 线上商城 : TMS320C6748 OMAPL138 交流群 : FPGA 交流群 : Xilinx 官网 : TI 中文论坛 : TI 英文论坛 : TI 官网 : TI WIKI: 公司官网 : 销售邮箱 公司总机 : /28

19 附录 A 开发例程 表 8 基于 ARM 端的裸机开发例程 例程 功能 GPIO_LED GPIO 输出 (LED 灯 ) GPIO_KEY GPIO 输入 ( 按键中断 ) GPIO_KEY_EDMA TIME TIMER_Dual_32-bit_Chained TIMER_Dual_32-bit_UnChained TIMER_Dual_32-bit_UnChained_4-bit_Prescaler UART0_INT UART1_POLL UART2_INT RS485 按键触发 EDMA 事件定时器关联 32-bit 模式定时器 / 计数器独立 32-bit 模式定时器 / 计数器独立 32-bit 模式 / 额外 4-bit 分频定时器 / 计数器 UART0 串口中断收发 UART1 串口查询收发 UART2 串口中断收发 RS485 串口查询收发 TL_MULTUART_INT 多串口模块 ( 查询方式 ) TL_MULTUART_POLL 多串口模块 ( 中断方式 ) IIC_EEPROM SPI_FLASH IIC EEPROM 读写 SPI FLASH 读写 SPI_DAC_AD 通道 DAC 模块 ( 模拟 SPI 总线 ) SPI_DAC_AD5724v2 4 通道 DAC 模块 (SPI 总线 ) WatchDog PWM ECAP_APWM PWM_ECAP RTC LCD VGA 看门狗高精度脉冲宽度调制器 PWM 输出增强型捕获模块 ECAP 辅助输出增强型捕获模块 ECAP 捕获 RTC 时钟 LCD 显示 VGA 显示 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

20 LCD_TOUCH AUDIO_LINE_OUT AUDIO_MIC_IN AUDIO_LINE_IN VPIF_OV2640 NandFlash EMIF_AD7606 EMIF_AD7606v2 EMIF_FPGA 7 寸触摸屏 Line Out 音频输出 Mic In 音频输入 Line In 音频输入 VPIF 总线 CMOS 摄像头数据采集 NAND FLASH 读写测试 EMIFA 总线 8 通道并口 AD 数据采集 EMIFA 总线 8 通道并口 AD 数据采集 EMIFA 总线 FPGA 读写测试 EMIF_FPGA_DMA EMIFA 总线 FPGA 读写测试 ( 经过 EDMA 优化 ) EDMA3 EDMA3_TRANSPOSE upp_b_to_a EDMA3 一维数据传输 EDMA3 二维数据传输 upp 总线 FPGA 读写测试 表 9 基于 DSP 端的裸机开发例程 例程 功能 DEMO 综合例程 GPIO_LED GPIO 输出 (LED 灯 ) GPIO_KEY GPIO 输入 ( 按键中断 ) GPIO_KEY_EDMA GPIO_KEY_TIMER_EventCombine TIMER TIMER_Dual_32-bit_Chained TIMER_Dual_32-bit_UnChained TIMER_Dual_32-bit_UnChained_4-bit_Prescaler UART0_INT UART1_POLL UART2_INT 按键触发 EDMA 事件按键及定时器中断定时器关联 32-bit 模式定时器 / 计数器独立 32-bit 模式定时器 / 计数器独立 32-bit 模式 / 额外 4-bit 分频定时器 / 计数器 UART0 串口中断收发 UART1 串口查询收发 UART2 串口中断收发 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

21 UART2_EDMA RS485 EDMA 串口收发 RS485 串口查询收发 TL_MULTUART_INT 多串口模块 ( 查询方式 ) TL_MULTUART_POLL 多串口模块 ( 中断方式 ) IIC_EEPROM SPI_FLASH IIC EEPROM 读写 SPI FLASH 读写 SPI_DAC_AD 通道 DAC 模块 ( 模拟 SPI 总线 ) SPI_DAC_AD5724v2 4 通道 DAC 模块 (SPI 总线 ) WatchDog NMI PWM ECAP_APWM PWM_ECAP RTC LCD VGA LCD_TOUCH_4INCH LCD_TOUCH GRLIB_DEMO MMCSD SATA 看门狗不可屏蔽中断高精度脉冲宽度调制器 PWM 输出增强型捕获模块 ECAP 辅助输出增强型捕获模块 ECAP 捕获 RTC 时钟 LCD 显示 VGA 显示 4.3 寸触摸屏 7 寸触摸屏 StarterWare 图形库控件 SD 卡读写 SATA 枚举测试 USB_DEV_BULK USB OTG 从方式 (USB BULK 管道通信 ) USB_DEV_MSC USB OTG 从方式 ( 虚拟存储设备 ) USB_DEV_SERIAL USB OTG 从方式 (USB 虚拟串口 ) USB_HOST_KEYBOARD USB OTG 主方式 (USB 键盘 ) USB_HOST_MOUSE USB OTG 主方式 (USB 鼠标 ) USB_HOST_MSC USB OTG 主方式 (U 盘内容查看 ) ENET_HTTPD 网络 Web 服务器 ENET_HTTPD_RMII 网络 Web 服务器 ( 使用 RMII 接口 ) 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

22 ENET_ECHO 网络 Socket 通信 AUDIO_LINE_OUT Line Out 音频输出 (EMDA 方式 ) AUDIO_LINE_OUT_INTR Line Out 音频输出 ( 中断方式 ) AUDIO_LINE_OUT_POLL Line Out 音频输出 ( 查询方式 ) AUDIO_LINE_OUT_WAV Line Out 音频输出 ( 导入文件方式 ) AUDIO_MIC_IN Mic In 音频输入 (EMDA 方式 ) AUDIO_MIC_IN_INTR Mic In 音频输入 ( 中断方式 ) AUDIO_MIC_IN_POLL Mic In 音频输入 ( 查询方式 ) AUDIO_MIC_IN_SAVEMEM Mic In 音频输入 ( 保存文件方式 ) AUDIO_MIC_IN_WAVE Mic In 音频输入 ( 波形输入方式 ) AUDIO_LINE_IN Line In 音频输入 (EMDA 方式 ) AUDIO_LINE_INTR Line In 音频输入 ( 中断方式 ) AUDIO_LINE_ POLL Line In 音频输入 ( 查询方式 ) AUDIO_LINE_IN_SAVEMEM Line In 音频输入 ( 保存文件方式 ) AUDIO_LINE_IN_WAVE Line In 音频输入 ( 波形输入方式 ) McBSP VPIF_OV2640 Memory_Benchmark NandFlash EMIF_AD7606 EMIF_AD7606v2 EMIF_AD7656 EMIF_AD7656v2 EMIF_AD8568 EMIF_AD8568v2 EMIF_FPGA McBSP 总线数据收发 VPIF 总线 CMOS 摄像头数据采集内存读写速度测试 NAND FLASH 读写测试 EMIFA 总线 8 通道并口 AD 数据采集 EMIFA 总线 8 通道并口 AD 数据采集 EMIFA 总线 6 通道并口 AD 数据采集 EMIFA 总线 6 通道并口 AD 数据采集 EMIFA 总线 8 通道并口 AD 数据采集 EMIFA 总线 8 通道并口 AD 数据采集 EMIFA 总线 FPGA 读写测试 EMIF_FPGA_DMA EMIFA 总线 FPGA 读写测试 ( 经过 EDMA 优化 ) EDMA3 EDMA3_TRANSPOSE EDMA3 一维数据传输 EDMA3 二维数据传输 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

23 upp_b_to_a upp_2ch TL2515_CAN TL5147_VGA TL5147_LCD H264Encode NRF24L01 HC-SR04 DHT11 WIFI_UART RFID ECAP_REMOTE BTUART MPU6050 ZIGBEE ClockOut DSPClockSpeed FIR IIR Matrix FFT upp 总线 FPGA 读写测试 upp 板间双通信测试双 CAN 通信测试复合视频输入 VGA 显示测试复合视频输入 LCD 显示测试编码例程测试无线模块测试超声波测距测试温湿度传感器测试串口 WIFI 模块测试 RFID 射频识别测试红外遥控测试串口蓝牙模块测试三轴加速陀螺仪测试串口转 Zigbee 无线测试时钟频率测试 CPU 时钟测试有限长单位冲激响应滤波器无限脉冲响应数字滤波器矩阵运算快速傅里叶变换 / 逆变换 FFT_Benchmark 快速傅里叶变换 / 逆变换 ( 打开 / 关闭缓存速度对比 ) FFT_DIT2 基 2 时间抽取快速傅里叶变换 / 逆变换 ( 原址计算 ) DCT RGB2Gray HIST InteEqualize ImageReverse Canny 图像离散余弦变换 RGB24 图像转灰度灰度图像直方图直方图均衡化图像反色边缘检测 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

24 Threshold LinerTrans Zoom Rotate MATH UniversalCopy MP3Decode 灰度图像二值化灰度图像线性变换图像缩放图像旋转数学函数库基于 Codec Engine 的数据复制算法 MP3 解码 MP3Decode_SD MP3 解码 ( 使能缓存及通过 SD 存取 ) AACLCDecode AACHEv2Decode AACLCEncode G711ADecode G711ADecode ImageProcess FaceDetect BUZZER MATRIX_KEY DAC_TLC5615 EMIF_AD7606 VPIF_OV2640 DCMOTOR STEPPERMOTOR EASYBOX_DEMO AACLCDecode AAC 解码 AACHEv2Decode AAC 解码 AACLCEncode AAC 编码 G711 A 率语音编码 G711 A 率语音解码数字识别人脸识别跟踪蜂鸣器 MATRIX_KEY DAC 输出 EMIFA 总线 8 通道并口 AD 数据采集摄像头直流电机步进电机实验板综合测试 表 10 基于 DSP 端的 SYS/BIOS 开发例程 例程 功能 GPIO_LED GPIO_LED_CLOCK 任务 时钟 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

25 GPIO_LED_MUTEX GPIO_LED_STATIC 抢占式多任务 静态创建任务 Timer 定时器 ( 通用 ) Timer_C674x 定时器 ( 专用 ) Timer_C674x_Runtime 定时器 ( 动态创建 ) Timer_C674x_Runtime_Reload 定时器 ( 动态创建 更改定时周期 ) HWI_C674x 硬件中断 (HWI 设备专用组件 ) HWI_C674x_Hook 硬件中断 (HWI 挂钩函数 ) HWI_C674x_Nest 硬件中断 (HWI 中断嵌套 ) HWI_Runtime 硬件中断 (HWI) HWI_Runtime_Post_SWI 硬件中断 (HWI 发布软件中断 ) HWI_Runtime_Post_Task 硬件中断 (HWI 触发任务 ) SWI 软件中断 ( 静态配置 ) SWI_Runtime SWI_Runtime_Post_Conditionally_andn SWI_Runtime_Post_Conditionally_dec SWI_Runtime_Post_Unconditionally_or MEMORY MMCSD MMCSD_FatFs UART1 UART2 AUDIO_LINE_IN AUDIO_LINE_OUT LCD_TOUCH TCP_Clien TCP UDP TCP_Benchmark 软件中断 (SWI) 软件中断 ( 有条件触发 ANDN) 软件中断 ( 有条件触发 DEC) 软件中断 ( 无条件触发 OR) 内存分配 SD 卡 RAW 模式 SD 卡 FAT 文件系统 UART1 串口查询收发 UART2 串口查询收发 Line In 音频输入 Line Out 音频输出触摸屏 TCP 客户端 TCP 服务器 UDP 通信 TCP 发送 / 接收速度测试 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

26 Telnet Telnet WebServer Telnet 协议 TFTP 协议 网络 Web 服务器 WebServer_RMII 网络 Web 服务器 ( 使用 RMII 接口 ) MJPEG_Streamer Raw Socket EDMA3 McBSP_LoopBack McBSP 内部回环测试 McBSP McBSP 外部回环测试 IP Camera 网络摄像头以太网数据链路层通信 EDMA3 一维数据传输 McBSP 内部回环测试 McBSP 外部回环测试 表 11 基于 SYSLINK 的双核开发例程 例程 功能 ad7606_dsp ad7606_arm ad7606 DSP 采样方式 ad7606 ARM 采样方式 flash_led led 状态控制 ( 不带 QT 界面 ) led_switch button 按键控制 led 状态 ( 不带 QT 界面 ) led button button _led resource_sync value_shared ImageRotate efficient_fft mp3_decoder umsg face_detect tl-helloworld-uart2 tl-notify-latency-test led 状态控制 button 按键状态监听 button 按键状态监听与 led 状态控制 ARM 和 DSP 操作同步 ARM 和 DSP 数据共享图像旋转高效 FFT 运算 MP3 解码 ARM 与 DSP 消息传递人脸识别 helloworld 双核例程解析 SYSLINK notify 延迟测试 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

27 tl-messageq-latency-test tl-listmp-latency-test tl-mcasp-only-dsp tl-upp-saver SYSLINK messageq 延迟测试 SYSLINK listmp 延迟测试双核音频测试 upp 的回环数据传输 表 12 基于 TL_IPC 的双核开发例程 例程 功能 trigger_arm shared_memory Led button fft DSP 触发 ARM 中断共享内存 led 状态控制 button 按键状态监听高效 FFT 运算 表 13 基于 PRU 的汇编开发例程 例程 功能 PRU_GPIO_LED PRU_GPIO_KEY PRU_TL5724_DAC PRU_TL7606_ADC PRU_TL8568_ADC PRU_uPP_B_TO_A PRU 控制 GPIO 输出 PRU 控制 GPIO 输入 PRU 驱动 DAC 输出测试 PRU 触发 ADC 采集模拟量 PRU 触发 ADC 采集模拟量 PRU 控制 upp 传输数据 表 14 基于 FPGA 端的开发例程 例程 功能 LED KEY LED 测试 按键测试 公司官网 : 销售邮箱 公司总机 : /28

28 IIC UART_IP upp IIC 测试 UART 回环测试 upp 收发测试 UPP_TX upp 接收测试 (DSP 接收 ) UPP_LOOP EMIFA AD9706 AD9238 AD7606_UART AD7606_UPP AD5724_UART ADS8568_UART ADS8568_UPP AD 采集三核通信例程测试 upp 回环测试 EMIFA 测试 DA 测试 AD 测试 AD 模块采集测试 AD 模块采集测试 ( 使用 upp) DA 信号输出测试 AD 模块采集测试 AD 模块采集测试 ( 使用 upp) 打印数据 保存数据 LCD 波形显示 PC 端显示 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /28

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/1/30 V1.1 1. 排版修改 2014/12/24 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/26 公司官网 :www.tronlong.com 销售邮箱

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/02/04 V1.1 1. 排版修改 2015/04/22 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 开发板简介... 4 2 典型运用领域...

More information

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 :

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 : Revision History Draft Date Revision No. Description 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/15

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/12/25 V1.3 1. 规格书文档内容勘误 2. 修改电气特性参数 2017/03/24 V1.2 1. 添加产品认证和开发例程 2016/12/16 V1.1 1. 修改简介和应用领域 2016/08/16 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

TL138-EVM开发板规格书

TL138-EVM开发板规格书 TMS320C6748 开发板使用手册 DSP+ARM+FPGA 三核主板方案领导 Revision History Revision Description Draft Remark No. Date V1.0 1. 初始版本 2014/5/5 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 公司总机 :020-8998-6280 公司官网 :www.tronlong.com

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

E68 E68C 中性说明书 A6版

E68 E68C 中性说明书 A6版 GPS 行 车 记 录 仪 一 体 机 详 细 功 能 描 述 广 州 超 前 计 算 机 科 技 有 限 公 司 ( 版 本 :V1.0 修 改 时 间 2011-11-16) 目 录 一 产 品 外 形... 1 二 功 能 与 操 作... 1 1 行 驶 记 录 仪 功 能... 1 2 显 示 屏 菜 单 功 能... 5 3 接 线 图... 7 4 屏 操 作 功 能 说 明...

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6>

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6> WWW.ICPDAS.COM.CN 1 关 于 泓 格 泓 格 科 技 成 立 于 1993 年, 以 基 于 PC 的 数 据 采 集 卡 为 最 初 的 研 发 产 品 线,1998 年 我 们 认 为 嵌 入 式 控 制 器 极 具 未 来 性, 所 以 整 个 研 发 的 重 心 移 到 了 各 种 嵌 入 式 控 制 器 远 程 I/O 模 块 等 产 品 线 经 过 十 多 年 的 努

More information

TL138-EVM开发板规格书

TL138-EVM开发板规格书 TMS320C6748 开发板使用手册 DSP+ARM+FPGA Revision History Revision Description Draft Remark No. Date V1.0 1. 初始版本 2014/5/5 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 阅前须知 版权声明广州创龙电子科技有限公司保留随时对其产品进行修改和完善的权利, 同时也保留在不作任何通告的情况下,

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

軟體的安裝

軟體的安裝 DMECOM DAR 8000 / 1600A 錄 音 系 統 使 用 說 明 書 Version1.0.0 目 錄 1 產 品 簡 介... 1 2 產 品 外 觀 功 能... 1 3 包 裝 內 容... 2 4 系 統 要 求... 2 5 錄 音 系 統 的 登 入... 2 6 AM2000 使 用 說 明... 7 6.1 AM2000 外 觀 於 主 機 DAR 8000 連 接 圖...

More information

说明书库

说明书库 简 介 非 常 感 谢 您 选 择 使 用 智 能 手 机! 本 手 机 是 一 部 单 C 网 并 具 有 商 务 协 同 功 能 的 智 能 手 机! 该 话 机 除 支 持 基 本 的 语 音 通 话 短 信 等 功 能 之 外, 还 有 如 下 特 色 功 能 : 无 线 上 网, 酣 畅 高 速 通 过 CDMA 1X, 网 上 冲 浪, 无 所 不 通 商 务 协 同, 功 能 强 大

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

33 8 No.8Vol.33 JOURNALOF NEIJIANG NORMAL UNIVERSITY MHz-RFID 1, 1, 2, 1, 1 1, * (1., ; 2., ) : 433 MHz, STM MHz, 433MHz,,.,,.

33 8 No.8Vol.33 JOURNALOF NEIJIANG NORMAL UNIVERSITY MHz-RFID 1, 1, 2, 1, 1 1, * (1., ; 2., ) : 433 MHz, STM MHz, 433MHz,,.,,. 33 8 No8Vol33 JOURNALOF NEIJIANG NORMAL UNIVERSITY 53 433MHz-RFID 1 1 2 1 1 1 * (1, 362000; 2, 362000) : 433 MHz, STM32 433MHz, 433MHz,,,,, : ; ; ; DOI:1013603/jcnki51-1621/z201808009 :TP277 :A :1671-1785(2018)08-0053-06,,

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

<4D6963726F736F667420576F7264202D2052532D543830352D4130CFB5C1D0B2FAC6B7B9E6B8F1CAE9>

<4D6963726F736F667420576F7264202D2052532D543830352D4130CFB5C1D0B2FAC6B7B9E6B8F1CAE9> 苹 果 IOS APP 安 卓 Android APP 第 1 页 共 14 页 Revision:20160615 IPC 系 列 网 络 高 清 枪 击 模 组 产 品 规 格 书 1 产 品 名 称 :IPC 网 络 38*38 模 组 2 产 品 型 号 : RS-T805-A0 3 产 品 特 色 及 功 能 : 3.0 板 载 MT7601 wifi 模 块, 无 需 外 加 wifi

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

DSP+ARM+FPGA 三核主板方案领导者 OMAPL138 双核通信之 DSP 程序独立仿真教程 Revision History Revision Description Draft Remark No. Date V 初始版本 2014/4/7 销售邮箱

DSP+ARM+FPGA 三核主板方案领导者 OMAPL138 双核通信之 DSP 程序独立仿真教程 Revision History Revision Description Draft Remark No. Date V 初始版本 2014/4/7 销售邮箱 OMAPL138 双核通信之 DSP 程序独立仿真教程 Revision History Revision Description Draft Remark No. Date V1.0 1. 初始版本 2014/4/7 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 1/19 阅前须知 版权声明广州创龙电子科技有限公司保留随时对其产品进行修改和完善的权利, 同时也保留在不作任何通告的情况下,

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

Microsoft Word - 134招标文件.doc

Microsoft Word - 134招标文件.doc 威 海 市 政 府 采 购 招 标 文 件 采 购 编 号 :WHGP2016-134 采 购 项 目 : 网 站 建 设 采 购 方 式 : 公 开 招 标 山 东 省 鲁 成 招 标 有 限 公 司 2016 年 5 月 20 日 目 录 第 一 部 分 招 标 公 告 2 第 二 部 分 投 标 人 须 知 4 第 三 部 分 开 标 评 标 定 标 13 第 四 部 分 采 购 项 目 说

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

TL138-EVM开发板规格书

TL138-EVM开发板规格书 OMAPL138 开 发 板 Linux SD 系 统 启 动 卡 制 作 方 法 Revision History Revision Description Draft Remark No. Date V1.0 1. 初 始 版 本 2013/12/25 销 售 邮 箱 :sales@tronlong.com 公 司 总 机 :020-8998-6280 技 术 邮 箱 :support@tronlong.com

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

Microsoft Word - VC2K_20141030_cht.doc

Microsoft Word - VC2K_20141030_cht.doc 影 像 伺 服 器 VC-1500/1800/2000 操 作 說 明 書 影 像 伺 服 器 操 作 說 明 書 20141030 0 目 錄 硬 體 介 紹...4 正 面...4 背 面 說 明...4 配 件...5 接 線 架 構 說 明 圖...5 搭 配 有 線 電 視 數 位 盒 接 法...6 PC-09N 無 線 轉 發 器 指 定 插 槽...6 軟 體 安 裝 說 明...7

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

2013 浙 江 宇 视 科 技 有 限 公 司 及 其 许 可 者 保 留 一 切 权 利 未 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 书 内 容 的 部 分 或 全 部, 并 不 得 以 任 何 形 式 传 播 为 浙 江 宇 视 科 技

2013 浙 江 宇 视 科 技 有 限 公 司 及 其 许 可 者 保 留 一 切 权 利 未 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 书 内 容 的 部 分 或 全 部, 并 不 得 以 任 何 形 式 传 播 为 浙 江 宇 视 科 技 TS8500 转 码 服 务 器 快 速 入 门 浙 江 宇 视 科 技 有 限 公 司 http://www.uniview.com 资 料 版 本 :5PW101-20130911 2013 浙 江 宇 视 科 技 有 限 公 司 及 其 许 可 者 保 留 一 切 权 利 未 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 书 内 容 的 部

More information

Microsoft Word - shenbaocailiao.doc

Microsoft Word - shenbaocailiao.doc 附 件 13: 陕 西 高 等 学 校 本 科 实 验 教 学 示 范 中 心 申 请 书 推 荐 单 位 : 西 北 工 业 大 学 明 德 学 院 学 校 名 称 : 西 北 工 业 大 学 明 德 学 院 中 心 名 称 : 电 子 与 通 信 实 验 教 学 中 心 中 心 网 址 : http://www.npumd.cn/teach/mingde/index.htm 中 心 联 系 电

More information

Microsoft Word - 征求意见书.doc

Microsoft Word - 征求意见书.doc 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 征 求 意 见 书 经 新 乡 市 卫 滨 区 政 府 采 购 管 理 部 门 批 准, 河 南 卓 越 工 程 管 理 有 限 公 司 将 于 近 期 就 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 进 行 公 开 招 标 现 将 有 关 项 目 需 求 公 布 如

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15 2008IT /15 Agenda 2008 & 2008 2008 & US$B PC/系統潮 網路潮/節能潮 3C潮 企業市場消費者 成熟市場消費者 成熟+新興市場消費者 代 時 代 C 時 P C n P NNoon 1,000 PPCC時 時代 代 G-phone 後PC時代 後PC時代 HDTV IPTV Web NB $500B 100 $100B 10 2003 Source 拓墣產業研究所

More information

目 录 一 LED 产 品 发 展 历...1 二 LED 显 示 屏 市 场 应 用 领 域...2 三 LED 显 示 屏 的 基 本 构 成...3 四 LED 显 示 屏 一 般 常 见 的 几 种 分 类 :...4 五 LED 显 示 屏 的 选 择 时 考 虑 因 素...5 六 LE

目 录 一 LED 产 品 发 展 历...1 二 LED 显 示 屏 市 场 应 用 领 域...2 三 LED 显 示 屏 的 基 本 构 成...3 四 LED 显 示 屏 一 般 常 见 的 几 种 分 类 :...4 五 LED 显 示 屏 的 选 择 时 考 虑 因 素...5 六 LE LED 培 训 教 材 目 录 一 LED 产 品 发 展 历...1 二 LED 显 示 屏 市 场 应 用 领 域...2 三 LED 显 示 屏 的 基 本 构 成...3 四 LED 显 示 屏 一 般 常 见 的 几 种 分 类 :...4 五 LED 显 示 屏 的 选 择 时 考 虑 因 素...5 六 LED 显 示 屏 系 统 要 求 和 安 装...6 七 LED 全 彩 屏 系

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

钢铁产业与衡器行业

钢铁产业与衡器行业 2013 2013 4 4.0 PLC CPS Cyber Physical Systems 1.0 1 1.0 2013 MICROSCAN DATALOGIC BANNER SICK OPTEX CMOS CCD 1 / / 2 1 LED 2 2 3 CCD CMOS 4 / A/D FPGA DSP IEEE1394 RS-644 LVDS Channel Link LVDS Camera

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

Total Internet Connectivity in a Single Chip

Total Internet Connectivity in a Single Chip 基 于 SX 微 处 理 器 的 嵌 入 式 Internet 技 术 乐 德 广 及 其 应 用 系 统 的 设 计 1 1, 郭 东 辉, 刘 瑞 堂 1, Gerard Parr 2 1. 厦 门 大 学 技 术 物 理 研 究 所 厦 门 361005 2. 英 国 Ulster 大 学 信 息 学 院 N.Ireland, BT52 1SA 摘 要 : SX 微 处 理 器 是 美 国 Scenix

More information

V39用户手册0227.doc

V39用户手册0227.doc 300 2004 (FCC) FCC I/O B Cet appareil numérique de la classe B respecte toutes les exigences du Réglement sur le matériel brouilieur du Canada. Windows Windows 98 Windows 2000 Windows ME Windows XP Microsoft

More information

2 欢 迎 使 用 安 讯 士 网 络 视 频 技 术 手 册 随 着 标 准 视 频 系 统 的 发 展 以 及 在 网 络 技 术 数 字 成 像 和 智 能 摄 像 机 等 技 术 的 推 动 下, 安 全 监 控 和 远 程 监 控 水 平 得 到 了 空 前 的 提 高 和 发 展 网 络

2 欢 迎 使 用 安 讯 士 网 络 视 频 技 术 手 册 随 着 标 准 视 频 系 统 的 发 展 以 及 在 网 络 技 术 数 字 成 像 和 智 能 摄 像 机 等 技 术 的 推 动 下, 安 全 监 控 和 远 程 监 控 水 平 得 到 了 空 前 的 提 高 和 发 展 网 络 网 络 摄 像 机 技 术 手 册 成 功 部 署 基 于 IP 的 安 全 监 控 和 远 程 监 控 应 用 需 要 考 虑 的 技 术 及 要 素 2 欢 迎 使 用 安 讯 士 网 络 视 频 技 术 手 册 随 着 标 准 视 频 系 统 的 发 展 以 及 在 网 络 技 术 数 字 成 像 和 智 能 摄 像 机 等 技 术 的 推 动 下, 安 全 监 控 和 远 程 监 控 水 平

More information

主机端

主机端 目 录 第 一 章 产 品 说 明...2 1.1 产 品 概 述...2 1.2 技 术 参 数...2 第 二 章 产 品 外 观 结 构 介 绍...3 2.1 面 板 说 明...3 2.2 产 品 接 口 说 明...4 2.3 遥 控 器 说 明...5 第 三 章 操 作 系 统 说 明...5 3.1 开 / 关 机...5 3.2 预 览...5 3.3 菜 单 基 本 操 作 说

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

Microsoft Word - A200911-255.doc

Microsoft Word - A200911-255.doc 硅 片 调 谐 器 (TUNER) 在 PC-TV 上 的 应 用 高 云 北 京 歌 华 有 线 电 视 网 络 股 份 有 限 公 司, 北 京 (100007) E-mail:gaoyun@bgctv.com.cn 摘 要 : 本 文 介 绍 一 款 USB 接 口 的 A+D 电 视 接 收 盒 的 设 计, 该 设 计 采 用 小 尺 寸 的 硅 片 TUNER 与 EM2880 芯 片

More information

注意事项:

注意事项: 注 意 事 项 : 请 勿 将 重 物 置 于 本 设 备 上 ; 请 勿 让 任 何 固 体 或 液 体, 掉 入 或 渗 入 设 备 内 ; 请 定 期 用 刷 子 对 电 路 板 接 插 件 机 箱 风 机 机 箱 等 进 行 除 尘, 在 进 行 机 体 清 洁 工 作 前, 请 关 闭 电 源 并 拔 掉 电 源 ; 请 勿 自 行 对 本 设 备 进 行 拆 卸 维 修 或 更 换 零

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2 :Lenovo ET700 1 GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB2.0 624MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET700 2.5 QVGA ET700 2 3 Lenovo 800-810-8888 400-818-8818 http://www.lenovomobile.com

More information

供热 2 版.indd

供热 2 版.indd 公 司 简 介 和 利 时 公 司 是 工 业 自 动 化 产 品 的 专 业 生 产 基 地 销 售 中 心 和 工 程 服 务 中 心, 致 力 于 工 业 自 动 化 领 域 发 展 已 近 20 年, 一 直 保 持 快 速 稳 健 的 发 展, 产 品 广 泛 应 用 在 电 力 化 工 石 化 市 政 建 材 冶 金 造 纸 制 药 环 保 城 市 轨 道 交 通 机 械 制 造 等 行

More information

利尔达科技有限公司 LSD SCIENCE & TECHNOLOGY CO., LTD. 文 件 修 改 记 录 台 帐 项目名称 编制人 项目型号 张斌斌 审核人 编制日期 2012-8 审核日期 序号 修改日志 1 公司地址:杭州市登云路 425 号杭州利尔达科技大

利尔达科技有限公司  LSD SCIENCE & TECHNOLOGY CO., LTD. 文 件 修 改 记 录 台 帐 项目名称 编制人 项目型号 张斌斌 审核人 编制日期 2012-8 审核日期 序号 修改日志 1 公司地址:杭州市登云路 425 号杭州利尔达科技大 LSD SCIENCE & TECHNOLOGY CO., LTD. 利尔达科技有限公司 WWW.LIERDA.COM 利 尔 达 科 技 有 限 公 司 LSD SCIENCE & TECHNOLOGY CO., LTD. GPRS DTU LSD5DT3180P-LSD5DT3180EP 技 术参数 公司地址:杭州市登云路 425 号杭州利尔达科技大厦 Tel:0571-89908135 Fax:0571-89908519

More information

TR-10_UserManual_Cht.indd

TR-10_UserManual_Cht.indd IPEVO Skype www.ipevo.com.tw 1. TR-10 1-1 TR-10 1-2 TR-10 1-3 2. 2-1 2-2 3. 4. TR-10 4-1 Skype 4-2 4-3 4-4 4-5 4-6 TR-10 4-7 5. IPEVO Control Center 5-1 IPEVO Control Center 5-2 5-3 6. freerec 6-1 freerec

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

( 试 行 ) 中 国 城 市 科 学 研 究 会 数 字 城 市 工 程 研 究 中 心 二 〇 一 三 年 四 月 目 录 引 言... 1 1 范 围... 1 2 规 范 性 引 用 文 件... 1 3 术 语 定 义 与 缩 略 语... 2 3.1 术 语 与 定 义... 2 3.2 缩 略 语... 2 4 平 台 定 位... 2 4.1 智 慧 城 市 总 体 框 架...

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

A80 H8 english

A80 H8 english H8 development kit brief introduction H8 is based on Allwinner A80 SoC is released by Merrii, we can provide users with Android4.4.2 and inux3.4.39 to develop. OptimusBoard is a mini board based on A80,

More information

K 寸猫眼中文说明书

K 寸猫眼中文说明书 使 用 说 明 书 适 用 于 K-301 系 列 产 品 一 简 介 1 二 标 准 套 装 配 件 1 三 产 品 外 观 构 成 2 四 安 装 说 明 4 五 操 作 说 明 6 六 规 格 参 数 13 七 注 意 事 项 14 感 谢 您 购 买 智 能 防 盗 可 视 猫 眼 门 铃 系 列 产 品, 该 系 列 是 本 公 司 最 新 设 计 的 多 功 能 超 薄 悬 挂 式 智

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

操作使用说明

操作使用说明 TWM Amazing p5 Lite 使 用 手 冊 - 1 - 目 錄 使 用 手 冊... - 1-1 安 全 事 項... - 4-1.1 注 意 事 項... - 4-1.2 合 理 使 用... - 4-2 快 速 指 南... - 4-2.1 電 池... - 4-2.2 開 機 和 關 機... - 4-2.3 開 啟 和 關 閉 螢 幕... - 5-2.4 觸 控 螢 幕 的 使

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

温 馨 提 示!!! ( 本 提 示 内 容 非 采 购 文 件 的 组 成 部 分, 仅 为 善 意 提 醒 如 有 不 一 致 的 地 方, 以 采 购 文 件 为 准 ) 一. 网 络 公 示 的 采 购 文 件 仅 供 浏 览 用, 以 投 标 人 报 名 并 购 买 后 版 本 为 准 二

温 馨 提 示!!! ( 本 提 示 内 容 非 采 购 文 件 的 组 成 部 分, 仅 为 善 意 提 醒 如 有 不 一 致 的 地 方, 以 采 购 文 件 为 准 ) 一. 网 络 公 示 的 采 购 文 件 仅 供 浏 览 用, 以 投 标 人 报 名 并 购 买 后 版 本 为 准 二 廉 江 市 特 殊 教 育 学 校 第 二 批 设 施 设 备 采 购 项 目 采 购 编 号 :GDFL1602A09N034 公 开 招 标 文 件 广 东 丰 联 招 标 代 理 有 限 公 司 编 制 发 布 日 期 :2016 年 8 月 19 日 温 馨 提 示!!! ( 本 提 示 内 容 非 采 购 文 件 的 组 成 部 分, 仅 为 善 意 提 醒 如 有 不 一 致 的 地 方,

More information

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, :

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, : PSoCCapSensePLUS CapSense PLUS CapSense LCD PSoC CapSensePLUS,, CapSensePLUS,,,,, http://cn21iccom/customer/cypress/20070307htm 20X34,21X34,24X94 USB 21X34 CapSense plus PSoC Designer, plus CapSense Plus

More information

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設 版 權 前 言 本 出 版 物, 包 括 所 有 照 片 插 圖 與 軟 體 均 受 國 際 版 權 法 之 保 護, 所 有 權 利 均 被 保 留 此 說 明 書 和 其 中 所 包 含 的 任 何 材 料 都 不 可 以 在 沒 有 作 者 的 書 面 許 可 下 被 複 製 版 本 1.0 免 責 聲 明 製 造 商 不 對 說 明 書 內 容 作 任 何 陳 述 或 擔 保, 基 於 此

More information

目 录 基 本 功 能 4 请 先 阅 读 说 明 文 件 6 包 装 内 容 7 设 备 部 位 图 9 电 池 15 SIM 或 USIM 卡 (nano-sim 卡 ) 21 存 储 卡 (microsd 卡 ) 24 USB 连 接 器 25 打 开 和 关 闭 设 备 25 触 摸 屏 2

目 录 基 本 功 能 4 请 先 阅 读 说 明 文 件 6 包 装 内 容 7 设 备 部 位 图 9 电 池 15 SIM 或 USIM 卡 (nano-sim 卡 ) 21 存 储 卡 (microsd 卡 ) 24 USB 连 接 器 25 打 开 和 关 闭 设 备 25 触 摸 屏 2 SM-G930F SM-G930FD 使 用 说 明 书 Chinese. 02/2016. Rev.1.0 www.samsung.com 目 录 基 本 功 能 4 请 先 阅 读 说 明 文 件 6 包 装 内 容 7 设 备 部 位 图 9 电 池 15 SIM 或 USIM 卡 (nano-sim 卡 ) 21 存 储 卡 (microsd 卡 ) 24 USB 连 接 器 25 打 开

More information

95年度技術學院評鑑

95年度技術學院評鑑 大 漢 技 術 學 院 104 學 年 度 技 術 學 院 綜 合 評 鑑 電 腦 與 通 訊 工 程 系 自 我 評 鑑 報 告 受 評 單 位 組 別 電 子 電 機 群 ( 電 機 組 ) 校 長 宋 佩 瑄 簽 章 ( 請 蓋 關 防 ) 主 管 林 中 誠 簽 章 聯 絡 人 資 訊 姓 名 林 中 誠 職 級 副 教 授 電 話 03-8210873 傳 真 03-8264113 手 機

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

Microsoft Word - New_radio_platform_prof_article_CHN_12-2015.docx

Microsoft Word - New_radio_platform_prof_article_CHN_12-2015.docx (( 信 息 娱 乐 )) 基 于 SoC, 打 造 灵 活 的 无 线 电 平 台 所 有 功 能 集 于 一 身 新 功 能 灵 活 性 跟 随 车 辆 终 身 升 级 以 诱 人 的 价 格 获 得 全 部 服 务 这 些 都 是 现 今 汽 车 制 造 商 和 最 终 用 户 对 信 息 娱 乐 系 统 的 需 求 大 陆 汽 车 的 新 型 汽 车 无 线 电 平 台 将 借 助 其 先

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信 数 字 电 视 普 及 分 阶 段 实 施 2013 年 1 月 22 日 董 长 肜 郭 海 燕 ( 责 ) 李 纬 东 青 伶 俐 专 题 摘 要 近 期 专 题 研 究 目 录 摘 要 专 题 : 国 务 院 出 新 政 力 促 通 用 航 空 1 月 22 日 相 关 政 策 专 题 : 页 岩 气 第 二 批 中 标 结 果 公 布 1 月 22 日 行 业 发 展 专 题 : 前 海 开

More information

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER Leica IC90 E 4 5 6 10 Leica IC90 E 12 13 Leica IC90 E: 14 16 18 USB 20 HDMI 22 SD 24 25 () 27 28 29 CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMERA ( ) 34 SETUP USER 35 SETUP ETHERNET

More information