Sensored BLDC Motor Control Using dsPIC30F2010.book

Size: px
Start display at page:

Download "Sensored BLDC Motor Control Using dsPIC30F2010.book"

Transcription

1 使用 dspic30f2010 控制带传感器的 BLDC 电机 著者 : Stan D' Souza Microchip Technology 图 1: BLDC 换相图 引言 HALL R 60 o dspic30f2010 是一款专门为嵌入式电机控制应用设计的 28 引脚 16 位 MCU 它主要是为交流感应电机(AC Induction Motor, ACIM) 无刷直流电机 (Brushless DC,BLDC) 和普通直流电机这些典型的电机类型而专门设计的 以下是 dspic30f2010 的一些主要特性 : 6 个独立或 3 对互补的电机控制专用 PWM 输出 6 输入 采样速率为 500Ksps 的 ADC, 可同时采样最多 4 路输入 多种串行通信 :UART I 2 C 和 SPI 小型封装 :6 6 mm QFN, 适用于嵌入式控制应用 DSP 引擎可实现控制环的快速响应 在本应用笔记中, 我们将讨论如何使用 dspic30f2010 来控制带传感器的 BLDC 电机 欲知 BLDC 的详细工作原理以及 BLDC 电机运行和控制的一般信息, 请参阅 AN901_CN 本应用笔记讨论了使用 dspic30f2010 控制 BLDC 电机的具体实现, 而对 BLDC 电机的细节涉及较少 BLDC 电机 BLDC 电机基本是内外倒置的直流电机 在一般直流电机中, 定子是永磁体 转子上有绕组, 对绕组通电 通过使用换向器和电刷将转子中的电流反向来产生旋转的或运动的电场 与之相反, 在 BLDC 电机中绕组在定子上而转子是永磁体 内外倒置的直流电机 这一称谓由此得名 要使转子转动, 必须存在旋转电场 一般来说, 三相 BLDC 电机具有 3 相定子, 同一时刻为其中的两相通电, 以产生旋转电场 此方法相当容易实现, 但是为了防止永磁体转子被定子锁住, 在知道转子磁体的精确位置的前提下, 必须以特定的方式按顺序为定子通电 位置信息通常用霍尔传感器检测转子磁体位置获得, 也可采用轴角编码器方式获得 对于典型的三相带传感器的 BLDC 电机, 有 6 个不同的工作区间, 每个区间中有特定的两相绕组通电 如图 1 所示 HALL Y HALL B 导通 Q3,Q5Q1,Q5Q1,Q6Q2,Q6Q2,Q4Q3,Q4Q3,Q5Q1,Q5Q1,Q6 霍尔状态 RYB 通过检测霍尔传感器, 可以得到一个 3 位编码, 编码值的范围从 1 到 6 每个编码值代表转子当前所处的区间 从而提供了需要对哪些绕组通电的信息 因此程序可以使用简单的查表操作来确定要对哪两对特定的绕组通电以使转子转动 注意状态 0 和 7 对于霍尔效应传感器而言是无效状态 软件应该检查出这些值并相应地禁止 PWM 变化通知输入 灵活使用上述的技巧, 可以将霍尔效应传感器连接到 dspic30f2010 的输入引脚上, 来检测变化 ( 变化通知 (Change Notification, CN) 输入 ) 当这些引脚上的输入电平发生变化时, 就会产生中断 在 CN 中断服务程序 (Interrupt Service Routine, ISR) 中, 由用户应用程序读取霍尔效应传感器的值, 用以计算偏移量并查表, 来正确地驱动 BLDC 电机的绕组 2005 Microchip Technology Inc. DS00957A_CN 第 1 页

2 电机控制脉宽调制 (MCPWM) 使用上面的方法可以使 BLDC 电机全速旋转 然而, 为了使 BLDC 电机速度可变, 必须在两相绕组的两端加上可变电压 从数字化的语言来讲, 就是加在 BLDC 电机绕组上的 PWM 信号的不同占空比可以获得可变电压 dspic30f2010 有六个由 PWM 信号驱动的 PWM 输出 如图 2 所示, 通过使用六个开关 IGBT 或 MOSFET, 可以将三相绕组驱动为高电平 低电平或根本不通电 例如, 当绕组的一端连接到高端驱动器时, 就可在低端驱动器上施加占空比可变的 PWM 信号 这与将 PWM 信号加在高端驱动器上, 而将低端驱动器连接到 VSS 或 GND 的作用相同 一般更喜欢对低端驱动器施加 PWM 信号 图 2: 电机驱动电路与绕组连接示意图 +V 1H 2H 3H 三相负载 PWM 信号由 dspic30f2010 的电机控制 (Motor Control,MC) 专用 PWM 模块提供 MCPWM 模块是专为电机控制应用而设计的 ( 阅读本节时, 请参阅图 3 ) MCPWM 有一个专用的 16 位 PTMR 时基寄存器 此定时器每隔一个由用户定义的时间间隔进行一次递增计数, 该时间间隔最短可以为 TCY 通过选择一个值并将它装入 PTPER 寄存器, 用户可以决定所需的 PWM 周期 每个 TCY, PTMR 与 PTPER 作一次比较 当两者匹配时, 开始一个新的周期 控制占空比的方法与此类似, 只需在三个占空比寄存器中装入一个值即可 与周期比较不同, 每隔 TCY/2 就将占空比寄存器中的值与 PTMR 进行一次比较 ( 即, 比较的频率是周期比较的两倍 ) 如果 PTMR 的值与 PDCx 的值相匹配, 那么对应的占空比输出引脚就会根据选定的 PWM 模式驱动为低电平或高电平 通过占空比比较产生的三个输出将被分别传输给一对互补的输出引脚, 其中一个引脚输出为高电平, 而另一个引脚输出为低电平, 反之亦然 这两个输出引脚也可以被配置为独立输出模式 当驱动为互补输出时, 可以在高电平变低与低电平变高之间插入一段死区 死区是由硬件配置的, 最小值为 TCY 插入死区可以防止输出驱动器发生意外的直通现象 1L 2L 3L DS00957A_CN 第 2 页 2005 Microchip Technology Inc.

3 图 3: PWM 框图 PWMCON1 PWMCON2 PWM 使能和模式控制 SFR DTCON1 死区时间控制 SFR FLTACON OVDCON FLTA 引脚控制 SFR PWM 手动控制 SFR PWM 发生器 #3 PDC3 缓冲器 16 位数据总线 PDC3 比较器 通道 3 死区发生器和改写逻辑 PWM3H PWM3L PTMR PWM 发生器 #2 通道 2 死区发生器和改写逻辑 输出驱动器模块 PWM2H PWM2L 比较器 PTPER PWM 发生器 #1 通道 1 死区发生器和改写逻辑 PWM1H PWM1L FLTA PTPER 缓冲器 PTCON 比较器 SEVTDIR 特殊事件后分频器 特殊事件触发信号 SEVTCMP PTDIR PWM 时基 注 : 为了明了起见没有给出 PWM 发生器 #1 和 #2 的细节 2005 Microchip Technology Inc. DS00957A_CN 第 3 页

4 可以将 MCPWM 模块配置为多种模式 其中边沿对齐的输出模式可能是最常见的 图 4 描述了边沿对齐的 PWM 的工作原理 在周期开始时, 所有输出均驱动为高电平 随着 PTMR 中值的递增, 一旦该值与占空比寄存器中的值发生匹配就会导致对应的占空比输出变为低电平, 从而表示该占空比结束 PTMR 寄存器的值与 PTPER 寄存器的值匹配导致一个新的周期开始, 所有输出变为高电平以开始一个全新的周期 图 4: 边沿对齐的 PWM PWM1H PTPER PDC1 PDC2 0 占空比 从 PDCx 中重载新占空比 PTMR 中的值 根据 OVDCON 寄存器中的值, 用户可以选择哪个引脚获得 PWM 信号以及哪个引脚被驱动为有效或无效 控制带传感器的 BLDC 时, 必须根据由霍尔传感器的值所指定的转子位置对两相绕组通电 在 CN 中断服务程序中, 首先读霍尔传感器, 然后将霍尔传感器的值用作查找表中的偏移量, 以找到对应的将要装入 OVDCON 寄存器的值 表 1 和图 5 说明了如何根据转子所处的区间将不同的值装入 OVDCON 寄存器, 从而确定需要对哪些绕组通电 表 1: 图 5: PWM 输出改写示例 状态 OVDCON<15:8> OVDCON<7:0> b b b b b b b b PWM 输出改写示例 状态 PWM2H 周期 PWM3H PWM3L 还可以将 MCPWM 设置为其它模式 : 中心对齐的 PWM 和单个 PWM 由于它们不用于控制 BLDC 电机, 在此将不对这些模式进行讨论 欲知有关这些模式的详细信息, 请参阅 dspic30f 系列参考手册 (DS70046C_CN) 改写是本应用中使用的 MCPWM 的一个重要特征 改写控制是 MCPWM 模块的最后级 它允许用户直接写入 OVDCON 寄存器并控制输出引脚 OVDCON 寄存器中有两个 6 位字段 这两个字段中的每一位对应于一个输出引脚 OVDCON 寄存器的高字节部分确定对应的输出引脚是由 PWM 信号驱动 ( 当置为 1 时 ), 还是由 OVDCON 寄存器低字节部分中的相应位驱动为有效 / 无效 ( 当置为 0 时 ) 此功能允许用户使用 PWM 信号, 但是并不驱动所有输出引脚 对于 BLDC 电机, 相同的值被写入所有 PDCx 寄存器 PWM2H PWM2L PWM1H PWM1L 注 : 在状态 1-4 之间切换的时间由用户软件控制 通过向 OVDCON 写入新值控制状态切换 本例中 PWM 输出工作在独立模式 DS00957A_CN 第 4 页 2005 Microchip Technology Inc.

5 硬件描述 图 6 中的框图说明了如何使用 dspic30f2010 驱动 BLDC 电机 如需详细的原理图, 请参阅附录 C 图 6: dspic30f2010 PWM3H PWM3L PWM2H PWM2L PWM1H PWM1L AN2 CN5 CN6 CN7 硬件框图 给定 MOSFET 驱动电路 霍尔效应传感器反馈 BLDC 6 个 MCPWM 输出连接到 3 对 MOSFET 驱动器 (IR2101S), 最终连接到 6 个 MOSFET(IRFR2407) 这些 MOSFET 以三相桥式连接到 3 相 BLDC 电机绕组 在当前实现中,MOSFET 的最大电压为 70V, 最大电流为 18A 注意在使用最大功率时必须提供充分的散热, 这一点很重要 MOSFET 驱动器也需要一个较高的电压 (15V) 来运行, 因此需要提供这么高的电平 该电机是 24V BLDC 电机, 因此 DC+ 到 DC- 母线电压为 24V 需要提供 5V 的稳压电源来驱动 dspic30f 个霍尔效应传感器的输出信号连接到与变化通知电路相连的输入引脚, 使能输入的同时也使能相应中断 若这 3 个引脚中的任何一个发生了电平变化, 就会产生中断 为了提供速度给定, 将一个电位计连接到 ADC 输入 (RB2) 在 RC14 上提供了一个按钮开关, 用于起动和停止电机 为了向电机提供一些电流反馈, 在 DC 母线负电压与地或 Vss 之间连接了一个低阻值电阻 (25 毫欧 ) 由此电阻产生的电压被一个外部运放 (MCP6002) 放大并反馈到 ADC 输入 (RB1) 固件描述 附录 A 和附录 B 包含了两个固件程序来举例说明此应用笔记中描述的方法 一个程序使用开环速度控制 另一个使用比例和积分反馈来实现闭环速度控制 对于实际应用而言, 开环方式通常是不实用的 此处介绍它主要是为了阐明 BLDC 电机的驱动方法 开环控制 在开环控制中,MCPWM 根据来自速度电位计的电压输入直接控制电机速度 初始化 MCPWM ADC 端口和变化通知输入之后, 程序将等待一个激活信号 ( 例如, 按一个键 ) 来表示开始 ( 参见图 7) 按下键后, 程序将读霍尔传感器 根据读到的值, 从表中取出对应的值并将它写入 OVDCON 此时电机开始旋转 图 7: 开环流程图 开始 初始化 MCPWM ADC 和端口 按过键吗? 是 读霍尔效应传感器 ; 用表中查到的状态装载 OVDCON 读过给定电位计吗? 是 用给定值装载 PDCx 按过键吗? 否 否 否 是 使用 OVDCON 停止 MCPWM 2005 Microchip Technology Inc. DS00957A_CN 第 5 页

6 最初占空比值保持在默认值 50% 但是, 在主程序的第一个循环, 将读电位计并将其值 ( 即正确的给定值 ) 作为占空比插入 该值决定电机的速度 占空比值越高, 电机转得越快 图 8 所示电机速度由电位计控制 图 8: 电压给定 开环电压控制模式 dspic MCPWM BLDC 电机 闭环控制 在闭环控制固件版本中, 主要的不同是使用电位计来设定速度给定 控制环提供了对速度的比例和积分 (Proportional and Integral, PI) 控制 要测量实际速度, 可以使用 TMR3 作为定时器来选通一个完整的电周期 由于我们使用的是 10 极电机, 因此一个机械周期将由 5 个电周期构成 如果 T ( 秒 ) 是一个电周期的时间, 那么速度 S = 60/ (P/2*T) rpm, 其中 P 是电机的极数 控制如图 10 所示 闭环控制流程图如图 11 所示 图 10: 闭环电压控制模式 霍尔效应传感器连接到变化通知引脚 允许 CN 中断 当转子旋转时, 转子磁体的位置发生变化, 从而使转子进入不同的区间 CN 中断表示转子进入每个新位置 在 CN 中断程序 ( 如图 9 所示 ) 中, 读霍尔效应传感器的值, 并根据该值得到一个表查找值, 并将它写入 OVDCON 寄存器 此操作将确保在正确的区间对正确的绕组通电, 从而使电机继续旋转 图 9: CN 中断流程图 开始 给定 图 11: + - S 速度 PI 控制器 电机速度计算结果 dspic MCPWM 闭环控制流程图 开始 电机 读霍尔效应传感器 初始化 MCPWM ADC 和端口 获得在表中查找到的状态值 按过键吗? 是 否 装载 OVDCON 读霍尔效应传感器 ; 用表中查到的状态装载 OVDCON 相位超前 结束 欲知有关相位超前以及实现方式的详细信息, 请参阅 AN901_CN 否读过实际速度吗? 是计算比例和积分速度误差 * 否按过键吗? 是 使用 OVDCON 停止 MCPWM * PDCx = KP ( 比例速度误差 ) + KI ( 积分速度误差 ) DS00957A_CN 第 6 页 2005 Microchip Technology Inc.

7 结论 dspic30f2010 非常适合对带传感器的 BLDC 电机进行闭环控制 外设和 DSP 引擎为带传感器的 BLDC 应用提供了足够宽的带宽, 并为客户的应用程序提供了充足的代码空间 参考书目 AN885 Brushless DC (BLDC) Motor Fundamentals AN901_CN dspic30f 在无传感器 BLDC 控制中的应用 AN857 Brushless DC Motor Control Made Easy AN899 Brushless DC Motor Control Using PIC18FXX31 MCUs 2005 Microchip Technology Inc. DS00957A_CN 第 7 页

8 附录 A: 开环控制的源代码清单 此附录包含了开环控制的源代码清单 软件许可协议 Microchip Technology Incorporated ( 公司 ) 随附提供的软件旨在提供给您 ( 该公司的客户 ) 使用, 仅限于且只能在该公司制造的产品上使用 该软件为公司和 / 或其供应商所有, 并受适用的版权法保护 版权所有 任何违反前述限制的使用将使其用户遭受适用法律的刑事制裁, 并承担违背此许可的条款和条件的民事责任 该软件 按现状 提供 不提供保证, 无论是明示的 暗示的还是法定的保证 这些保证包括 ( 但不限于 ) 对出于某一特定目的应用此软件的适销性和适用性默示的保证 在任何情况下, 公司都将不会对任何原因造成的特别的 偶然的或间接的损害负责 Software License Agreement The software supplied herewith by Microchip Technology Incorporated (the Company ) is intended and supplied to you, the Company s customer, for use solely and exclusively with products manufacture by the Company. The software is owned by the Company and/or its supplier, and is protected under applicable copyright laws. All rights are reserved. Any use in violation of the foregoing restrictions may subject the user to criminal sanctions under applicable laws, as well as to civil liability for the breach of the terms and conditions of this license. THIS SOFTWARE IS PROVIDED IN AN AS IS CONDITION. NO WARRANTIES, WHETHER EXPRESS, IMPLIED OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. THE COMPANY SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER 文件 :ClosedLoopSenBLDC.c 编写者 :Stan D'Souza, Microchip Technology 下列文件应该包含在 MPLAB 项目中 : ClosedLoopSenBLDC.c 主源代码文件 p30f2010.gld 链接描述文件 版本历史 10/01/04 第一版 /************************************************************* 以下是低端驱动器表 在此 StateLoTable 中, 在低端驱动器施加 PWM 信号, 而高端驱动器为 导通 或 截止 状态 在本练习中使用此表 /*************************************************************/ unsigned int StateLoTable[] = 0x0000, 0x0210, 0x2004, 0x0204, 0x0801, 0x0810, 0x2001, 0x0000; /**************************************************************** 以下是变化通知引脚 CN5 CN6 和 CN7 的中断向量 当霍尔传感器改变状态时, 将引起中断, 指令执行将转到下面的子程序 然后用户必须读端口 B 的第 3 位 第 4 位和第 5 位, 对读到的值进行移位和调节以使之读作 然后将调整后的值用作查找表 StateLoTable 中的偏移量以确定装入 OVDCON 寄存器的值 *****************************************************************/ DS00957A_CN 第 8 页 2005 Microchip Technology Inc.

9 void _ISR _CNInterrupt(void) IFS0bits.CNIF = 0; HallValue = PORTB & 0x0038; HallValue = HallValue >> 3; OVDCON = StateLoTable[HallValue]; 清零标志 屏蔽其它位, 保留 RB3 RB4 和 RB5 执行 3 次右移 /********************************************************************* ADC 中断用给定的电位计值装载 PDCx 寄存器 仅在电机运行时执行此操作 *********************************************************************/ void _ISR _ADCInterrupt(void) IFS0bits.ADIF = 0; if (Flags.RunMotor) PDC1 = ADCBUF0; PDC2 = PDC1; PDC3 = PDC1; 赋值 并装载所有的三个 PWM 占空比寄存器 int main(void) LATE = 0x0000; TRISE = 0xFFC0; 设置为输出 PWM 信号 CNEN1 = 0x00E0; 使能 CN5 CN6 和 CN7 CNPU1 = 0x00E0; 使能内部上拉 IFS0bits.CNIF = 0; 清零 CNIF IEC0bits.CNIE = 1; 允许 CN 中断 InitMCPWM(); InitADC10(); while(1) while (!S2); 等待按开始键 while (S2) 等待直到释放按键 DelayNmSec(10); 在 PORTB 上读霍尔位置传感器 HallValue = PORTB & 0x0038; 屏蔽其它位, 保留 RB3 RB4 和 RB5 HallValue = HallValue >> 3; 右移以获得值 OVDCON = StateLoTable[HallValue]; 装载改写控制寄存器 PWMCON1 = 0x0777; 使能 PWM 输出 Flags.RunMotor = 1; 将标志置 1 while (Flags.RunMotor) 当电机运行时 if (S2) 如果按下 S2 PWMCON1 = 0x0700; 禁止 PWM 输出 OVDCON = 0x0000; 将 PWM 改写为低电平 Flags.RunMotor = 0; 复位运行标志 while (S2) 等待释放按键 while (1) 结束 DelayNmSec(10); 2005 Microchip Technology Inc. DS00957A_CN 第 9 页

10 /******************************************************************* 以下代码用于设置 ADC 寄存器, 该代码可实现下列功能 : 1. 1 个通道转换 ( 本例中, 该通道为 RB2/AN2) 2. PWM 触发信号启动转换 3. 电位计连接到 CH0 和 RB2 4. 手动停止采样和启动转换 5. 手动检查转换完成 *********************************************************************/ void InitADC10(void) ADPCFG = 0xFFF8; ADCON1 = 0x0064; ADCON2 = 0x0200; ADCHS = 0x0002; ADCON3 = 0x0080; IFS0bits.ADIF = 0; IEC0bits.ADIE = 1; ADCON1bits.ADON = 1; 将端口 B 的 RB0 到 RB2 配置为模拟引脚 ; 将其它引脚配置为数字引脚 PWM 启动转换 同时采样 4 个通道 将 RB2/AN2 作为 CH0 连接到电位计 ch1 连接母线电压 Ch2 连接电机, Ch3 连接电位计 Tad 来源于内部 RC (4uS) 启动 ADC /******************************************************************** InitMCPWM, 对 PWM 做以下初始化 : 1. FPWM = hz 2. 独立的 PWM 3. 使用 OVDCON 控制输出 4. 用从电位计读取的 ADC 值设置占空比 5. 将 ADC 设置为由 PWM 特殊触发信号触发 *********************************************************************/ void InitMCPWM(void) PTPER = FCY/FPWM - 1; PWMCON1 = 0x0700; 禁止 PWM OVDCON = 0x0000; 允许使用 OVD 控制 PDC1 = 100; 将 PWM1 PWM2 和 PWM3 初始化为 100 PDC2 = 100; PDC3 = 100; SEVTCMP = PTPER; PWMCON2 = 0x0F00; 后分频比设为 1:16 PTCON = 0x8000; 启动 PWM 这是普通的 1 ms 延迟程序, 用于提供 1 ms 到 65.5 秒的延迟 如果 N = 1, 则延迟为 1 ms ; 如果 N = 65535, 则延迟为 65,535 ms 注意 FCY 用于计算 请根据上述定义语句做出必要的更改 (PLLx4 或 PLLx8 等 ) 以计算出正确的 FCY void DelayNmSec(unsigned int N) unsigned int j; while(n--) for(j=0;j < MILLISEC;j++); DS00957A_CN 第 10 页 2005 Microchip Technology Inc.

11 附录 B: 闭环控制的源代码清单 此附录包含了闭环控制的源代码清单 / Software License Agreement The software supplied herewith by Microchip Technology Incorporated (the Company ) is intended and supplied to you, the Company s customer, for use solely and exclusively with products manufacture by the Company. The software is owned by the Company and/or its supplier, and is protected under applicable copyright laws. All rights are reserved. Any use in violation of the foregoing restrictions may subject the user to criminal sanctions under applicable laws, as well as to civil liability for the breach of the terms and conditions of this icense. THIS SOFTWARE IS PROVIDED IN AN AS IS CONDITION. NO WARRANTIES, WHETHER EXPRESS, IMPLIED OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. THE COMPANY SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER 文件 :ClosedLoopSenBLDC.c 编写者 :Stan D'Souza, Microchip Technology 下列文件应该包含在 MPLAB 项目中 : ClosedLoopSenBLDC.c 主源代码文件 p30f2010.gld 链接描述文件 版本历史 10/01/04 第一版 *************************************************************************** ClosedLoopSenBLDC.c 用于对带传感器的 BLDC 电机进行闭环控制 它的任务包括 : 将霍尔传感器的传感变化信号输出到 CN5 CN6 和 CN7 引脚 ( 端口 B) 在 CN 中断期间, 通过读端口 B 读取来自传感器的输入信号分析并确定位置的状态 使用查找表 StateLoTable, 该表用于确定 OVDCON 的值 将在表中找到的值装入 OVDCON 将 PWM 初始化为产生独立连续的 PWM 信号 电位计参考电压值用来确定给定 ( 即要求的 ) 电机速度 然后使用要求的速度值和实际的速度值来确定比例速度误差和积分速度误差 有了这两个值, 就可以使用下面的公式计算出新的占空比 : NewDutyCycle ( 新的占空比 ) = Kp*( 比例速度误差 ) + Ki*( 积分速度误差 ) 然后将 10 位的 NewDutyCycle ( 新占空比值 ) 装入所有的 3 个 PWM 占空比寄存器中 FPWM = 16000hz 设置 ADC, 使通过 PWM 触发信号来启动转换 ********************************************************************************/ 2005 Microchip Technology Inc. DS00957A_CN 第 11 页

12 #define dspic30f2010 #include "c:\pic30_tools\support\h\p30f2010.h" #define FCY xtal = 5.0Mhz ; PLLx8 #define MILLISEC FCY/ ms 延迟常数 #define FPWM #define Ksp1200 #define Ksi10 #define RPMConstant60*(FCY/256) #define S2!PORTCbits.RC14 void InitTMR3(void); void InitADC10(void); void AverageADC(void); void DelayNmSec(unsigned int N); void InitMCPWM(void); void CalculateDC(void); void GetSpeed(void); struct unsigned RunMotor : 1; unsigned Minus : 1; unsigned unused : 14; Flags; unsigned int HallValue; int Speed; unsigned int Timer3; unsigned char Count; unsigned char SpeedCount; int DesiredSpeed; int ActualSpeed; int SpeedError; int DutyCycle; int SpeedIntegral; ************************************************************* 以下是低端驱动器表 在此 StateLoTable 中, 在低端驱动器上施加 PWM 信号, 而高端驱动器为 导通 或 截止 状态 在本练习中使用此表 *************************************************************/ unsigned int StateLoTable[] = 0x0000, 0x1002, 0x0420, 0x0402, 0x0108, 0x1008, 0x0120, 0x0000; /**************************************************************** 以下是变化通知引脚 CN5 CN6 和 CN7 的中断向量 当霍尔传感器改变状态时, 将引起中断, 指令执行将转到下面的子程序 然后用户必须读端口 B 的第 3 位 第 4 位和第 5 位, 对读到的值进行移位和调节以使之读作 然后将调整后的值用作查找表 StateLoTable 中的偏移量以确定装入 OVDCON 寄存器的值 *****************************************************************/ DS00957A_CN 第 12 页 2005 Microchip Technology Inc.

13 void _ISR _CNInterrupt(void) IFS0bits.CNIF = 0; 清零标志 HallValue = PORTB & 0x0038; 屏蔽其它位, 保留 RB3 RB4 和 RB5 HallValue = HallValue >> 3; 执行 3 次右移 OVDCON = StateLoTable[HallValue]; 装载改写控制寄存器 /********************************************************************* ADC 中断用给定的电位计值装载 DesiredSpeed 变量 然后用该值来确定速度误差 当电机不运行时, 使用来自电位计的直接给定值作为 PDC 值 *********************************************************************/ void _ISR _ADCInterrupt(void) IFS0bits.ADIF = 0; DesiredSpeed = ADCBUF0; if (!Flags.RunMotor) PDC1 = ADCBUF0; 赋值 PDC2 = PDC1; 并装载所有的三个 PWM PDC3 = PDC1; 占空比寄存器 /************************************************************************ 该主程序控制初始化, 按键以起动和停止电机 ************************************************************************/ int main(void) LATE = 0x0000; TRISE = 0xFFC0; CNEN1 = 0x00E0; CNPU1 = 0x00E0; IFS0bits.CNIF = 0; IEC0bits.CNIE = 1; SpeedError = 0; SpeedIntegral = 0; InitTMR3(); InitMCPWM(); InitADC10(); while(1) while (!S2); while (S2) DelayNmSec(10); 设置为输出 PWM 信号 使能 CN5 CN6 和 CN7 使能内部上拉 清零 CNIF 允许 CN 中断 等待按开始键 等待直到释放按键 通过端口 B 读来自霍尔位置传感器的信号 HallValue = PORTB & 0x0038; 屏蔽其它位, 保留 RB3 RB4 和 RB5 HallValue = HallValue >> 3; 右移以获得值 OVDCON = StateLoTable[HallValue]; 装载改写控制寄存器 PWMCON1 = 0x0777; 使能 PWM 输出 Flags.RunMotor = 1; 将标志置 1 T3CON = 0x8030; 启动 TMR3 while (Flags.RunMotor) 当电机运行时 if (!S2) 如果未按下 S Microchip Technology Inc. DS00957A_CN 第 13 页

14 else while (1) 结束 if (HallValue == 1) 如果位于区间 1 HallValue = 0xFF; 强制一个新值作为区间值 if (++Count == 5) Timer3 = TMR3; 读 tmr3 的最新值 TMR3 = 0; Count = 0; GetSpeed(); 确定速度 如果按下 S2, 停止电机 PWMCON1 = 0x0700; 禁止 PWM 输出 OVDCON = 0x0000; 将 PWM 改写为低电平 Flags.RunMotor = 0; 复位运行标志 while (S2) 等待释放按键 DelayNmSec(10); 对于 10 极电机, 将此代码段执行 5 个电周期 ( 即 1 个 机械周期 ) /******************************************************************* 以下代码用于设置 ADC 寄存器, 该代码可实现下列功能 : 1. 1 个通道转换 ( 本例中, 该通道为 RB2/AN2) 2. PWM 触发信号启动转换 3. 电位计连接到 CH0 和 RB2 4. 手动停止采样和启动转换 5. 手动检查转换完成 *********************************************************************/ void InitADC10(void) ADPCFG = 0xFFF8; ADCON1 = 0x0064; ADCON2 = 0x0200; ADCHS = 0x0002; ADCON3 = 0x0080; IFS0bits.ADIF = 0; IEC0bits.ADIE = 1; ADCON1bits.ADON = 1; 将端口 B 的 RB0 到 RB2 配置为模拟引脚 ; 将其它引脚配置为数字引脚 PWM 启动转换 采样 CH0 通道 将 RB2/AN2 作为 CH0 连接到电位计 Tad 来源于内部 RC (4uS) 清零标志 允许中断 启动 ADC DS00957A_CN 第 14 页 2005 Microchip Technology Inc.

15 /******************************************************************** InitMCPWM, 对 PWM 做以下初始化 : 1. FPWM = hz 2. 独立的 PWM 3. 使用 OVDCON 控制输出 4. 使用 PI 算法和速度误差设置占空比 5. 将 ADC 设置为由 PWM 特殊触发信号触发 *********************************************************************/ void InitMCPWM(void) PTPER = FCY/FPWM - 1; PWMCON1 = 0x0700; 禁止 PWM OVDCON = 0x0000; 允许使用 OVD 控制 PDC1 = 100; 将 PWM1 PWM2 和 PWM3 初始化为 100 PDC2 = 100; PDC3 = 100; SEVTCMP = PTPER; 特殊触发值等于 16 个周期值 PWMCON2 = 0x0F00; 后分频比设为 1:16 PTCON = 0x8000; 启动 PWM /************************************************************************ Tmr3 用于确定速度, 因此它被设置为使用 Tcy/256 作为时钟周期进行计数 *************************************************************************/ void InitTMR3(void) T3CON = 0x0030; TMR3 = 0; PR3 = 0x8000; 内部 Tcy/256 时钟 /************************************************************************ GetSpeed, 通过使用每个机械周期内 TMR3 中的值确定电机的精确速度 *************************************************************************/ void GetSpeed(void) if (Timer3 > 23000) 如果 TMR3 值很大, 则忽略此次读取 return; if (Timer3 > 0) Speed = RPMConstant/(long)Timer3; 获得以 RPM 为单位的速度 ActualSpeed += Speed; ActualSpeed = ActualSpeed >> 1; if (++SpeedCount == 1) SpeedCount = 0;CalculateDC(); 2005 Microchip Technology Inc. DS00957A_CN 第 15 页

16 /***************************************************************************** CalculateDC, 使用 PI 算法来计算新的 DutyCycle ( 占空比 ) 值, 该值将被载入 PDCx 寄存器 ****************************************************************************/ void CalculateDC(void) DesiredSpeed = DesiredSpeed*3; Flags.Minus = 0; if (ActualSpeed > DesiredSpeed) SpeedError = ActualSpeed - DesiredSpeed; else SpeedError = DesiredSpeed - ActualSpeed; Flags.Minus = 1; SpeedIntegral += SpeedError; if (SpeedIntegral > 9000) SpeedIntegral = 0; DutyCycle = (((long)ksp*(long)speederror + (long)ksi*(long)speedintegral) >> 12); DesiredSpeed = DesiredSpeed/3; if (Flags.Minus) DutyCycle = DesiredSpeed + DutyCycle; else DutyCycle = DesiredSpeed - DutyCycle; if (DutyCycle < 100) DutyCycle = 100; if (DutyCycle > 1250) DutyCycle = 1250;SpeedIntegral = 0; PDC1 = DutyCycle; PDC2 = PDC1; PDC3 = PDC1; 这是通用的 1 ms 延迟程序, 用于提供 1 ms 到 65.5 秒的延迟 如果 N = 1, 则延迟为 1 ms ; 如果 N = 65535, 则延迟为 65,535 ms 注意 FCY 会用于计算 请根据上述定义语句作必要的更改 (PLLx4 或 PLLx8 等 ) 以计算出正确的 FCY void DelayNmSec(unsigned int N) unsigned int j; while(n--) for(j=0;j < MILLISEC;j++); DS00957A_CN 第 16 页 2005 Microchip Technology Inc.

17 附录 C: 原理图 此附录包含了使用 dspic30f2010 来控制带传感器的 BLDC 电机的原理图 图 C-1: 电机控制原理图 Microchip Technology Inc. DS00957A_CN 第 17 页

18 图 C-2: 电机控制原理图 2 2 HIN 3 LIN VB HO VS VCC LO COM HIN 3 LIN VB HO VS VCC LO COM HIN 3 LIN VB HO VS VCC LO COM DS00957A_CN 第 18 页 2005 Microchip Technology Inc.

19 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意 甚至是非法破坏代码保护功能的行为 就我们所知, 所有这些行为都不是以 Microchip 数据手册中规定的操作规范来使用 Microchip 产品的 这样做的人极可能侵犯了知识产权 Microchip 愿与那些注重代码完整性的客户合作 Microchip 或任何其它半导体厂商均无法保证其代码的安全性 代码保护并不意味着我们保证产品是 牢不可破 的 代码保护功能处于持续发展中 Microchip 承诺将不断改进产品的代码保护功能 任何试图破坏 Microchip 代码保护功能的行为均可视为违反了 数字器件千年版权法案 (Digital Millennium Copyright Act) 如果这种行为导致他人在未经授权的情况下, 能访问您的软件或其它受版权保护的成果, 您有权依据该法案提起诉讼, 从而制止这种行为 提供本文档的中文版本仅为了便于理解 Microchip Technology Inc. 及其分公司和相关公司 各级主管与员工及事务代理机构对译文中可能存在的任何差错不承担任何责任 建议参考 Microchip Technology Inc. 的英文原版文档 本出版物中所述的器件应用信息及其它类似内容仅为您提供便利, 它们可能由更新之信息所替代 确保应用符合技术规范, 是您自身应负的责任 Microchip 对这些信息不作任何明示或暗示 书面或口头 法定或其它形式的声明或担保, 包括但不限于针对其使用情况 质量 性能 适销性或特定用途的适用性的声明或担保 Microchip 对因这些信息及使用这些信息而引起的后果不承担任何责任 未经 Microchip 书面批准, 不得将 Microchip 的产品用作生命维持系统中的关键组件 在 Microchip 知识产权保护下, 不得暗中或以其它方式转让任何许可证 商标 Microchip 的名称和徽标组合 Microchip 徽标 Accuron dspic KEELOQ microid MPLAB PIC PICmicro PICSTART PRO MATE PowerSmart rfpic 和 SmartShunt 均为 Microchip Technology Inc. 在美国和其它国家或地区的注册商标 AmpLab FilterLab Migratable Memory MXDEV MXLAB PICMASTER SEEVAL SmartSensor 和 The Embedded Control Solutions Company 均为 Microchip Technology Inc. 在美国的注册商标 Analog-for-the-Digital Age Application Maestro dspicdem dspicdem.net dspicworks ECAN ECONOMONITOR FanSense FlexROM fuzzylab In-Circuit Serial Programming ICSP ICEPIC Linear Active Thermistor MPASM MPLIB MPLINK MPSIM PICkit PICDEM PICDEM.net PICLAB PICtail PowerCal PowerInfo PowerMate PowerTool rflab rfpicdem Select Mode Smart Serial SmartTel Total Endurance 和 WiperLock 均为 Microchip Technology Inc. 在美国和其它国家或地区的商标 SQTP 是 Microchip Technology Inc. 在美国的服务标记 在此提及的所有其它商标均为各持有公司所有 2005, Microchip Technology Inc. 版权所有 Microchip 位于美国亚利桑那州 Chandler 和 Tempe 及位于加利福尼亚州 Mountain View 的全球总部 设计中心和晶圆生产厂均于 2003 年 10 月通过了 ISO/TS-16949:2002 质量体系认证 公司在 PICmicro 8 位单片机 KEELOQ 跳码器件 串行 EEPROM 单片机外设 非易失性存储器和模拟产品方面的质量体系流程均符合 ISO/TS-16949:2002 此外, Microchip 在开发系统的设计和生产方面的质量体系也已通过了 ISO 9001:2000 认证 2005 Microchip Technology Inc. DS00957A_CN 第 19 页

20 全球销售及服务网点 美洲 亚太地区 亚太地区 欧洲 公司总部 Corporate Office 2355 West Chandler Blvd. Chandler, AZ Tel: Fax: 技术支持 : 网址 : 亚特兰大 Atlanta Alpharetta, GA Tel: Fax: 波士顿 Boston Westborough, MA Tel: Fax: 芝加哥 Chicago Itasca, IL Tel: Fax: 达拉斯 Dallas Addison, TX Tel: Fax: 底特律 Detroit Farmington Hills, MI Tel: Fax: 科科莫 Kokomo Kokomo, IN Tel: Fax: 洛杉矶 Los Angeles Mission Viejo, CA Tel: Fax: 圣何塞 San Jose Mountain View, CA Tel: Fax: 中国 - 北京 Tel: Fax: 中国 - 成都 Tel: Fax: 中国 - 福州 Tel: Fax: 中国 - 香港特别行政区 Tel: Fax: 中国 - 上海 Tel: Fax: 中国 - 沈阳 Tel: Fax: 中国 - 深圳 Tel: Fax: 中国 - 顺德 Tel: Fax: 中国 - 青岛 Tel: Fax: 中国 - 武汉 Tel: Fax: 台湾地区 - 高雄 Tel: Fax: 台湾地区 - 台北 Tel: Fax: 台湾地区 - 新竹 Tel: Fax: 澳大利亚 Australia - Sydney Tel: Fax: 印度 India - Bangalore Tel: Fax: 印度 India - New Delhi Tel: Fax: 日本 Japan - Kanagawa Tel: Fax: 韩国 Korea - Seoul Tel: Fax: 或 马来西亚 Malaysia - Penang Tel: Fax: 菲律宾 Philippines - Manila Tel: Fax: 新加坡 Singapore Tel: Fax: 奥地利 Austria - Weis Tel: Fax: 丹麦 Denmark - Ballerup Tel: Fax: 法国 France - Massy Tel: Fax: 德国 Germany - Ismaning Tel: Fax: 意大利 Italy - Milan Tel: Fax: 荷兰 Netherlands - Drunen Tel: Fax: 英国 England - Berkshire Tel: Fax: 加拿大多伦多 Toronto Mississauga, Ontario, Canada Tel: Fax: /08/05 DS00957A_CN 第 20 页 2005 Microchip Technology Inc.

00872a.book

00872a.book 从 MCP2510 升 级 至 MCP2515 作 者 : 介 绍 Pat Richards Microchip Technology Inc. 开 发 MCP2510 独 立 CAN 控 制 器 的 初 衷 是 赋 予 CAN 系 统 和 模 块 设 计 人 员 更 多 的 灵 活 性, 允 许 他 们 为 自 己 的 应 用 选 择 最 好 的 处 理 器 使 用 MCP2510 不 会 使 设

More information

untitled

untitled C 8051 MCU SPI EEPROM Alexandru Valeanu Microchip Technology Inc. Microchip Technology 25XXX EEPROM SPI 25XXX EEPROM SO 25XXX EEPROM 3MHz 20 MHz SPI HOLD 25XXX EEPROM EEPROM MCU HOLD 25XXX EEPROM SPI EEPROM

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

untitled

untitled N1113 8051MU I 2 EEPROM lexandru Valeanu Microchip Technology Inc. Microchip Technology 24XXX EEPROM 2 SL 24XXX EEPROM 24XXX EEPROM I 2 100 khz 1MHz 24XXX EEPROM 2 I 2 EEPROM 128 512 b 100 khz 1MHz 1.7V

More information

93004A.book

93004A.book 用 dspic DSC MCPWM 模块驱动交流感应电机 作者 : Jorge Zambada Microchip Technology Inc. 如图 1 所示, 电机绕组的电感对 PWM 电压源的电流进行滤波 正如下文即将介绍的, 基于该原理我们可利用 PWM 信号产生正弦波来对三相 ACIM 进行通电 引言 本文档概括介绍了采用 dspic3f 电机控制数字信号控制器系列芯片实现的电机控制 PWM

More information

21999a_cn.book

21999a_cn.book 1.5A 低 电 压 低 静 态 电 流 LDO 稳 压 器 MCP1727 特 性 输 出 电 流 能 力 为 1.5A 输 入 工 作 电 压 范 围 :2.3V 至 6.0V 可 调 输 出 电 压 范 围 :0.8V 至 5.0V 标 准 固 定 输 入 电 压 : - 0.8V 1.2V 1.8V 2.5V 3.0V 3.3V 和 5.0V 可 根 据 需 要 提 供 其 他 固 定 输

More information

21928a.book

21928a.book PS080, PowerTool 800 开发软件用户指南 2005 Microchip Technology Inc. DS21928A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information

01262B.book

01262B.book 使用 C30 编译器实现单片机与片外串行 SRAM 的通信 作者 : 引言 Martin Bowman Microchip Technology Inc. 适用于 Microchip 的 dspic DSC 和 PIC24 系列单片机的 C30 编译器为寻址外部存储器提供了一个解决方案 该编译器支持这样的功能, 即允许用户按照访问 MCU 的片内存储器的方式来访问外部存储器 本应用笔记主要介绍如何使用

More information

61127c_cn.book

61127c_cn.book 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 列 主 题 : 1.1 简 介... 1-2 1.2 本 手 册 的 目 标... 1-2 1.3 器 件 结 构... 1-2 1.4 开 发 支 持... 1-4 1.5 样 式 和 符 号 约 定... 1-4 1.6 相 关 文 档... 1-6 1.7 版 本 历 史... 1-7 2010 Microchip Technology

More information

PIC18F65J90/85J90 Product Brief

PIC18F65J90/85J90 Product Brief 采用纳瓦技术内置 LCD 驱动器的 64/80 引脚高性能单片机 单片机的特殊性能 : 闪存程序存储器可承受 1000 次擦写 ( 典型值 ) 闪存数据保存时间为 20 年 ( 典型值 ) 软件控制下可自编程 中断具有优先级 8 x 8 单周期硬件乘法器 扩展的看门狗定时器 (Watchdog Timer, WDT): - 周期从 4 ms 到 131s, 可编程设定 通过两个引脚可进行在线串行编程

More information

61146A.book

61146A.book PIC32 2008 Microchip Technology Inc. DS61146A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip

More information

21666b.book

21666b.book 具 有 关 断 功 能 的 80 ma 小 型 CMOS LDO TC1016 特 性 节 省 空 间 的 5 引 脚 SC-70 和 SOT-23 封 装 极 低 的 工 作 电 流, 利 于 延 长 电 池 寿 命 : 典 型 值 53 µa 很 低 的 电 压 差 (Dropout Voltage) 额 定 输 出 电 流 :80 ma 仅 需 一 个 1µF 的 陶 瓷 输 出 电 容 高

More information

AN901.book

AN901.book dspic30f 在 无 传 感 器 BLDC 控 制 中 的 应 用 AN901 著 者 : 合 著 者 : 引 言 本 应 用 笔 记 描 述 了 一 种 完 全 可 行 且 高 度 灵 活 的 软 件 应 用, 使 用 dspic30f 来 控 制 无 传 感 器 无 刷 直 流 (brushless DC,BLDC) 电 机 此 软 件 将 dspic30f 外 设 广 泛 应 用 于 电

More information

41632B.book

41632B.book 互 补 波 形 发 生 器 (CWG) 可 配 置 逻 辑 单 元 (CLC) 和 数 控 振 荡 器 (NCO) 外 设 技 巧 与 诀 窍 简 介 Microchip 致 力 于 不 断 向 客 户 提 供 体 积 更 小 速 度 更 快 使 用 更 简 便 与 运 行 更 可 靠 的 创 新 产 品 我 们 的 闪 存 PIC MCU 已 广 泛 应 用 于 日 常 生 活 中 从 烟 雾 探

More information

MCP47x6_DaughterBoard.book

MCP47x6_DaughterBoard.book MCP47X6 PICtail Plus 子 板 用 户 指 南 DS51932B_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系 列 产 品

More information

00966A.book

00966A.book SPI 串行 EEPROM 与 PICmicro 单片机的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 目前市场上有许多种单片机用在嵌入式控制系统设计中, 这些嵌入式控制系统中的很大一部分都要用到非易失性存储器 由于串行 EEPROM 具有封装尺寸小, 存储容量灵活, 对 I/O 引脚要求低, 和低功耗低成本等特点, 已成为非易失性存储器的首选

More information

CTMU Temperature Measurement AN.book

CTMU Temperature Measurement AN.book 利用 PIC MCU 中的 CTMU 测量温度 TB3016 作者 : 最新一代 PIC24F 和 PIC18F 器件包含的充电时间测量单元 (Charge Time Measurement Unit,CTMU) 使用恒流源来计算电容值的变化以及事件的间隔时间 运用半导体物理学的基本原理, 同样的电流源也可用来测量温度 这允许使用普通而廉价的二极管来取代相对昂贵的热敏电阻和温度传感器 本技术简介描述了使用

More information

21498c_cn.book

21498c_cn.book 精密温度 - 电压转换器 特性 工作电压范围 : - TC147:2.7V 至 4.4V - TC147A:2.5V 至 5.5V 宽温度测量范围 : - -4 C 至 +125 C 温度转换精度高 : - 在 25 C 时为 ±2 C ( 最大值 ) 线性温度斜率 :1 mv/ C ( 典型值 ) 提供 3 引脚 SOT-23B 封装 低工作电流 : - 35 µa 典型值 应用 移动电话 电源过热关断控制

More information

00992A.book

00992A.book 用 dspic30f2010 控制无传感器 BLDC 电机 AN992 作者 : 概述 Stan D Souza Microchip Technology 本应用笔记介绍了如何使用 dspic30f2010 数字信号控制器控制无传感器的 BLDC 电机 应用中采用的技术是基于另一 Microchip 应用笔记 : dspic30f 在无传感器 BLDC 控制中的应用 (AN901) 本文介绍了如何将

More information

01018A.book

01018A.book 使用 C18 编译器进行 SPI 串行 EEPROM 与 PIC18 单片机的接口设计 作者 : 引言 Chris Parris Microchip Technology Inc. Microchip Technology 生产的 25XXX 系列串行 EEPROM 兼容 SPI 通信标准, 最大时钟频率范围从 3MHz 到 20 MHz 许多时候, 在设计利用串行 EEPROM 器件的应用时, 使用非专用

More information

93002A.book

93002A.book 使用 QEI 模块测量速度和位置 GS002 作者 : 引言 本文档给出了电机控制系列 dspic30f 数字信号控制器中正交编码器 (Quadrature Encoder Interface, QEI) 模块的概述 还提供了一个需要测量转子速度和位置的典型电机控制应用的代码示例 QEI 模块 Jorge Zambada Microchip Technology Inc. 正交编码器 ( 又称增量式编码器或光电式编码器

More information

93001A.book

93001A.book 使用 dspic30f 器件实现 BLDC 电机控制入门 作者 : 引言 Stan D Souza Microchip Technology Inc. 由于直流无刷 (BLDC) 电机可降低能耗及维护成本, 因此在对效率和可靠性要求较高的应用场合 BLDC 电机正重新受到关注 在大量应用中, dspic30f 电机控制芯片是多种类型 BLDC 电机的理想驱动和控制器件 Microchip 已经开发了许多基于

More information

自然辩证法索引

自然辩证法索引 自 然 与 科 学 技 术 哲 学 名 词 索 引 上 海 交 通 大 学 可 信 任 数 字 技 术 实 验 室 制 Copyright 2009 Trust Digital Technology Laboratory, Shanghai Jiao Tong University. Permission is hereby granted, free of charge, to any person

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

MCP1630

MCP1630 可 用 单 片 机 控 制 的 高 速 脉 宽 调 制 器 特 性 高 速 PWM 操 作 ( 电 流 检 测 至 输 出 有 12 ns 延 时 ) 工 作 温 度 范 围 : - -4 C 至 125 C 精 确 峰 值 电 流 限 制 (±5%)(MCP163) 电 压 模 式 和 平 均 电 流 模 式 控 制 (MCP163V) CMOS 输 出 驱 动 器 ( 直 接 驱 动 MOSFET

More information

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP 在 v2013-06-15 MLA USB 设备和主机协议栈中更新 PIC32MX 支持 TB3109 简介 我们提供适合 PIC32MX MCU 的 Microchip 应用程序库 (Microchip Libraries for Applications,MLA), 但未计划向 MLA 添加新功能 v2013-06-15 MLA USB 协议栈本质上支持所有适用的 PIC32MX MCU 器件

More information

33023A.book(31001A_cn.fm)

33023A.book(31001A_cn.fm) 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 面 一 些 主 要 内 容 : 1.1 简 介...1-2 1.2 本 手 册 的 宗 旨... 1-3 1.3 器 件 结 构... 1-4 1.4 开 发 支 持... 1-6 1.5 器 件 种 类... 1-7 1.6 格 式 和 符 号 的 约 定... 1-12 1.7 相 关 文 档... 1-14 1.8 相 关 应 用 笔

More information

untitled

untitled MCP3421 DS51683A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology Inc. Microchip

More information

2

2 2 3 1 2 3 9 bk 8 7 4 5 6 bn bm bl 1 2 3 4 5 6 7 8 9 p bk bl bm bn bo bo bp bq bq bp 1 2 8 . 1 2 3 4 5 6 bs 7 br 8 bq 9 bp bk bo bn bm bl 1 2 3 4 5 6 7 8 9 cm cl ck bt bk bl bm bn bo bp bq br bs bt

More information

* * 2

* * 2 * * 2 3 4 6 p 1234567 bl bm bn bo bp bq bk 9 8 cl ck bt bs br 1 0 2 3 4 5 6 7 8 9 bk bl bm bn bo bp bq br bs p bt ck 8 2 4 6 cl cm cn co co cn cm 10 . co cn cm cl ck bt bs 1 2 34567 8 9 bk bl bm bn

More information

The DatasheetArchive - Datasheet Search Engine

The DatasheetArchive - Datasheet Search Engine 750 khz 升 压 控 制 器 特 征 输 出 功 率 高 于 5W 输 出 电 压 从 3.3V 至 100V 以 上 750 khz 门 控 振 荡 器 开 关 频 率 对 电 池 供 电 或 宽 输 入 电 压 范 围 设 备, 占 空 比 可 调 输 入 电 压 范 围 :2.0V 至 5.5V 应 用 单 端 初 级 电 感 转 换 器 (SEPIC) 和 反 激 式 拓 扑 结 构

More information

2.0 MHz, 500 mA Synchronous Buck Regulator

2.0 MHz, 500 mA Synchronous Buck Regulator 2.0 MHz 500 ma 同 步 降 压 稳 压 器 MCP1603 特 性 典 型 效 率 大 于 90% 输 出 电 流 最 高 为 500 ma 低 静 态 电 流 = 45 µa ( 典 型 值 ) 低 关 断 电 流 = 0.1 µa ( 典 型 值 ) 可 调 输 出 电 压 : - 0.8V 至 4.5V 固 定 输 出 电 压 : - 1.2V 1.5V 1.8V 2.5V 和

More information

00686A.Book

00686A.Book 理解和使用监控电路 AN686 概要 本应用笔记讨论了什么是单片机监控器件, 为什么需要这些器件以及在选择这些器件时需要考虑的一些因素 监控器件属于一个很广的范畴, 它涵盖了上电复位 (Power on Reset,POR) 器件 欠压检测 (Brown-Out Detect,BOD) 器件和看门狗定时器器件 本应用笔记将仅讨论具有 POR 和 BOD 功能的监控器件 监控电路的工作 监控电路可用于几种不同的应用,

More information

00905A.book

00905A.book 有刷直流电机基础 AN905 作者 : 简介 有刷直流电机被广泛用于从玩具到按钮调节式汽车坐椅的应用中 有刷直流 (Brushed DC, BDC) 电机价格便宜 易于驱动并且易于制造成各种尺寸和形状 本应用笔记将讨论 BDC 电机的工作原理 驱动 BDC 电机的方法以及将驱动电路与 PIC 单片机接口的方法 工作原理 Reston Condit Microchip Technology Inc.

More information

39697b.book

39697b.book 第 9 章看门狗定时器 (WDT) 目录 本章包括下列主题 : 9.1 简介...9-2 9.2 WDT 工作原理... 9-3 9.3 寄存器映射... 9-7 9.4 设计技巧... 9-8 9.5 相关应用笔记... 9-9 9.6 版本历史... 9-10 9 看门狗定时器 (WDT) 2010 Microchip Technology Inc. DS39697B_CN 第 9-1 页 PIC24F

More information

70641A.book

70641A.book AN1299 调整指南 1.1 配置双电流检测电阻模式 本文档介绍了 AN1299 PMSM 无传感器 FOC 的单电流检测电阻三相电流重构算法 (DS01299A_CN) 中所述算法调整所需的步骤和设置 1. 第一步, 用户需完成 AN1078 中的调整过程, 其中介绍了在具体电机和硬件上运行滑模控制器 (Slide Mode Controller, SMC) 所需的全部步骤 2. 应用运行后,

More information

00513D_CN.FM

00513D_CN.FM 使用 PIC16C54 实现模数转换 AN513 作者 : 简介 本应用笔记介绍了一种使用 PIC16C5X 系列单片机实现模数转换 (Analog to Digital,A/D) 的方法 仅需 5 个外部元件即可实现该转换器, 且可通过软硬件配置使转换分辩率达到 6 到 10 位且转换时间不短于 250 µs 这一方法可用于电压和电流转换且使用软件校准技术来补偿由于时间和温度漂移以及元件误差造成的精度误差

More information

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO 使用 Microchip 的 dspic 数字信号控制器 (DSC) 和模拟器件实现脉冲血氧仪设计 作者 : Zhang Feng Microchip Technology Inc. 简介 脉冲血氧仪是一种用于监测患者血氧饱和度和心率的非侵入式医疗设备 本应用笔记演示了如何使用 Microchip 的 dspic 数字信号控制器 (Digital Signal Controller, DSC) 和模拟器件来实现高精度脉冲血氧仪

More information

01096B.book

01096B.book 使用 C30 编译器进行 SPI 串行 EEPROM 与 dspic33f 和 PIC24F 的接口设计 AN1096 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. Microchip 的 25XXX 系列串行 EEPROM 均与 SPI 兼容, 其最大时钟频率在 3 MHz 到 20 MHz 的范围内 许多时候, 当设计利用串行 EEPROM

More information

Microsoft Word - Xinhua Far East_Methodology_gb_2003.doc

Microsoft Word - Xinhua Far East_Methodology_gb_2003.doc 新 华 远 东 中 国 资 信 评 级 新 华 财 经 有 限 公 司 上 海 远 东 资 信 评 估 有 限 公 司 新 华 远 东 中 国 资 信 评 级 2003 年 电 子 邮 箱 评 级 总 监 联 系 电 话 rating@xfn.com 钟 汶 权 CFA 852-3102 3612 8621-5306-1122 目 的 新 华 财 经 有 限 公 司 与 上 海 远 东 资 信 评

More information

文件名

文件名 MICROCHIP PIC10F200/202/204/206 6 8 FLASH PIC10F200 PIC10F202 PIC10F204 PIC10F206 RISC CPU 33 12 8 8 4MHz 1 s 4MHz 1% TM ICSP TM ICD POR DRT WDT RC MCLR I/O /CMOS < 350 A @ 2V 4 MHz 100 na @ 2V FLASH 10000

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

01277A_cn.book

01277A_cn.book 使用 C32 编译器进行串行 SRAM 器件与 PIC32 MCU 的接口设计 作者 : 引言 Pinakin K Makwana Microchip Technology Inc. Microchip 的串行 SRAM 产品线提供了向应用添加附加 RAM 的一种新方法 这些器件采用 8 引脚小型封装以及 SPI 接口, 使设计人员提升系统的灵活性 Microchip Technology 的 23XXXX

More information

0507A_Auto Tech_Microchip

0507A_Auto Tech_Microchip 汽 车 无 线 安 全 接 入 技 术 解 决 方 案 Youbok Lee 主 任 工 程 师 Willie Fitzgerald 产 品 营 销 总 监 Microchip Technology Inc. 引 言 全 球 汽 车 半 导 体 面 临 极 大 的 市 场 机 会, 而 汽 车 设 计 工 程 师 正 在 应 对 高 性 价 比 的 性 能 增 强 功 耗 小 尺 寸 和 安 全 性

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

01476A.book

01476A.book 结合 CLC 和 NCO 实现高分辨率 PWM AN1476 作者 : 简介 Cobus Van Eeden Microchip Technology Inc. 虽然许多应用可以使用分辨率低于 8 位的 PWM, 但是有一些应用 ( 例如灯具调光 ) 由于人眼灵敏度的原因需要较高分辨率 背景 传统的 PWM 使用定时器来生成规则的开关频率 (T PWM ), 然后使用纹波计数器来确定在脉冲结束之前,

More information

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光 用 于 光 探 测 应 用 的 MCP649 运 放 AN494 作 者 : 简 介 Yang Zhen Microchip Technology Inc. 许 多 光 探 测 应 用 中 经 常 使 用 低 输 入 偏 置 运 算 放 大 器 ( 运 放 ), 以 降 低 电 流 误 差 和 提 高 输 出 信 号 的 精 度 以 下 为 典 型 的 光 探 测 应 用 : 烟 雾 探 测 器 火

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

01236B.book

01236B.book 使用 C3 和定时器连接 dspic33 DSC 和 PIC24 MCU 与兼容 UNI/O 总线的串行 EEPROM 作者 : 简介 Martin Kvasnicka Microchip Technology Inc. 随着嵌入式系统小型化的趋势, 市场对于减少器件间通信所用 I/O 引脚数的需求也与日俱增 Microchip 开发的 UNI/O 总线正满足了这一需求, 这一个低成本且易于实现的解决方案,

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

51406a.book

51406a.book dspicdem 电机控制入门指南 1.0 概述 现在, 用户也许手头上拥有了一整套可用来开发自己 dspic 电机控制应用的设备装置, 但却为不知如何将其进行正确连接以使电机运行而烦恼 事实上, 有许多技术资料可帮助用户实现上述目标, 但用户可将本文档视作使用 dspic30f 运动控制开发硬件实现电机控制的入门指南 特别指出的是, 本文档将对以下内容进行介绍 : 如何设置电机控制硬件 连接电机和使电机运行

More information

Using Microchip’s Micropower LDOs

Using Microchip’s Micropower LDOs 使用 Microchip 的微功率 LDO AN765 作者 : 简介 Paul Paglia, 公式 1: Microchip Technology Inc. = V REF [( ) 1] V REF = 1.20V Microchip Technology, Inc 的微功率 LDO 系列采用低电压 CMOS 工艺技术 这些 LDO 提供与双极型稳压器类似的纹波抑制和压差特性, 但效率却显著提高了

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

01019A.book

01019A.book EEPROM 耐擦写能力教程 作者 : 基本术语 David Wilkie Microchip Technology Inc. 耐擦写能力 (Endurance) ( 指 EEPROM) 的定义中包含一些需要明确定义和理解的词语和短语 从以下段落可以看出, 不同厂商使用不同的标准 耐擦写循环 (Endurance Cycling) 是所有厂商 ( 和一些客户 ) 采用的测试方法, 用以确定产品经过多少次

More information

800 mA Fixed-Output CMOS LDO with Shutdown

800 mA Fixed-Output CMOS LDO with Shutdown I 2 C 7/8-7 128 129-8 256 257 4 R AB - 5 kω - 1 kω - 5 kω - 1 kω 75Ω - 5 ppm -7 C - 15 ppm I 2 C - 1 khz - 4 khz - 3.4 MHz / TCON 1.5V 2.5 ua 12.5V SCL SDA - 2.7V 5.5V - 1.8V 5.5V -3 db 2 MHz 5. kω -4

More information

封面及首頁.doc

封面及首頁.doc Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 研 究 报 告 REITs 中 国 路 2014 年 2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 三 十 年, 对 房 托 发 展 至 今 还 未

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

封面.PDF

封面.PDF Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

21927a.book

21927a.book PS00 开关模式充电器评估板 特性 完整的 PS00 电池充电器应用电路 直接与 Microchip PowerInfo (PS0) 接口板和 PowerCal (PS0) 校准板连接 使用 PS00 PowerTool 00 PC 软件配置 PS00 使用在线串行编程 (In-Circuit Serial Programming, ICSP ) 更改或升级固件 充电器电路评估条件 : - 最大电压

More information

70062e_cn.book

70062e_cn.book 第 15 章电机控制 目录 本章包括下列主题 : 15.1 简介... 15-2 15.2 控制寄存器... 15-4 15.3 时基... 15-16 15.4 占空比比较单元... 15-20 15.5 互补 输出模式... 15-26 15.6 死区控制... 15-27 15.7 独立 输出模式... 15-30 15.8 输出改写... 15-31 15.9 输出和极性控制... 15-33

More information

Microsoft Word - A_Daily20151103

Microsoft Word - A_Daily20151103 陳 鳳 珠, Ellie Chan 高 曉 慶, Stanley Kao 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 日 評 - Shenwan Hongyuan A-Share Daily Notes ellie.chan@swhyhk.com stanley.kao@swhyhk.com 2015 年 11 月 3 日 星 期 二 (852) 2509-8431

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

00884a_cn.book

00884a_cn.book .E-0.E+00.E+0.E+0.E+03.E+04.E+05.E+06.E+07 使用运放驱动容性负载 AN884 作者 : 简介 运算放大器在驱动大的容性负载时, 若不采取正确地补偿, 则会产生尖峰和振荡问题 其他问题还包括 : 带宽减小, 输出压摆率降低和功耗增加 本应用笔记将解释为什么会发生这些问题, 如何改变运放电路来达到更佳性能, 以及如何快速计算电路的参数值 简化的运放模型 Kumen

More information

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit 采用 XLP 技术的全功能低引脚数单片机产品简介 说明 : 单片机具有模拟 内核独立外设以及通信外设特性, 并结合了超低功耗 (extreme Low Power,XLP) 技术, 适用于一系列广泛的通用和低功耗应用 外设引脚选择 (Peripheral Pin Select, PPS) 功能可以在使用数字外设 ( 和通信 ) 时进行引脚映射, 以增加应用设计的灵活性 内核特性 : 优化的 C 编译器

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

chap-1_NEW.PDF

chap-1_NEW.PDF Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

内容 XMC1300 介绍 --- 电机控制相关模块 BLDC APP 使用方法 --- BLDC 3 Hall APP Set date Copyright Infineon Technologies All rights reserved. Page 2

内容 XMC1300 介绍 --- 电机控制相关模块 BLDC APP 使用方法 --- BLDC 3 Hall APP Set date Copyright Infineon Technologies All rights reserved. Page 2 BLDC 控制 基于 XMC1300&APP 2014 英飞凌 XMC 微控制器巡回研讨会 内容 XMC1300 介绍 --- 电机控制相关模块 BLDC APP 使用方法 --- BLDC 3 Hall APP Set date Copyright Infineon Technologies 2011. All rights reserved. Page 2 XMC1000 系列结构介绍 Entry

More information

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese)

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese) Saflex Saflex (PVB) / Saflex B Saflex PVB 96% Saflex PVB Saflex PVB Saflex Saflex PVB * RB47 367700 x x x x x RB47 377800 / x x x x x RB47 547800 x x x x x RB47 147800 x x x x x RB47 156100 x x x x RB47

More information

Microsoft Word - A_Daily20160229

Microsoft Word - A_Daily20160229 高 曉 慶, Stanley Kao 陳 漢 輝, Freddy Chan 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 每 日 資 訊 - Shenwan Hongyuan A-Share Daily Notes stanley.kao@swhyhk.com freddy.hf.chan@swhyhk.com 2016 年 2 月 29 日 星 期 一 (852)

More information

Microsoft Word - A_Daily20160329

Microsoft Word - A_Daily20160329 高 曉 慶, Stanley Kao 陳 漢 輝, Freddy Chan 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 每 日 資 訊 - Shenwan Hongyuan A-Share Daily Notes stanley.kao@swhyhk.com freddy.hf.chan@swhyhk.com 2016 年 3 月 29 日 星 期 二 (852)

More information

DS21335.book

DS21335.book 具有关断和参考旁路功能的 50 ma 100 ma 和 150 ma CMOS LDO 特性 : 极低的供电电流 ( 典型值 50 µa) 超低压差 有 50 ma (TC1014) 100 ma (TC1015) 和 150 ma (TC1016) 输出可供选择 高输出电压精度 标准或定制输出电压 节能关断工作模式 可进行超低噪声运行的参考旁路输入方式 过电流和过热保护 节省空间的 5 引脚 SOT23A

More information

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide 跟踪接口工具包规范 跟踪接口工具包 简介 MPLAB REAL ICE 在线仿真器跟踪接口工具包 (AC2446) 可与具有跟踪连接器的 PIC32 接插模块 (PIM)( 表 1-1) 配合使用 具有跟踪连接器的 PIC32 PIM 支持 PIC32 指令跟踪 请参见仿真器文档了解关于 PIC32 指令跟踪的更多信息 表 1-1: PIC32 PIM 列表 * PIM 编号 PIM 名称 跟踪连接器

More information

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年 Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

Rotork E120E IQ brochure

Rotork E120E IQ brochure Rotork IQ IQ IQ 3 7 IP68(3-8 )NEMA 9 6 11 IQ 12 1 16 18 Rotork Controls Ltd, Bath, UK 2 Rotork Controls Inc, Rochester, USA IQ IQ IQ PCIQ-Insight IQ IQ IQ IP67 IQ - EEx ia IIC T ( ) Insight IrDA TM 9 (RS232-IrDA

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

LayoutPhyCS_cn.book

LayoutPhyCS_cn.book 电容触摸传感器布板和物理设计指南 AN1102 作者 : 介绍 本应用笔记用于说明 AN1101 电容触摸传感简介 中介绍的电容触摸解决方案的布板和物理设计 电容触摸系统的布板和物理设计是设计过程中尤为重要的部分 良好的布板可以使软件实现更为简单 取决于实际应用, 布板可能非常简单, 也可能很复杂, 但相同而简单的设计指南将适用于所有的布板 焊盘外形和尺寸 一般规则 当设计电容触摸按键时, 焊盘的形状并不很重要

More information

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc Terms and conditions: 1. The extra 5 Membership Rewards points promotion at SOGO ( the Promotion Offer ) is valid for spending only at SOGO Department Store at Causeway Bay and Tsim Sha Tsui within the

More information

CorpbrocTaiwan.IND

CorpbrocTaiwan.IND 我們的專業技術 微控器與類比產品的領導供應商 Microchip Technology Inc. (NASDAQ: MCHP) 為微控器與類比產品的領導供應商, 對於全球數千種不同客戶的產 品應用提供低風險的產品開發 更低的系統成本與更快的產品上市速度 總部設於美國亞利桑那州 Chandler 市, Microchip 提供卓越的技術支援以及可靠的交貨時間與品質 成立於 1989 年,Microchip

More information

MPLAB ICE PROMATE II MPLAB MPLAB ICE 2 3 Microchip MPLAB ICE ICSP PROMATE II AC44 MPLAB ICE MICROCHIP 2 Socket Module 3 4 4 (Transition Socket) SOIC SSOP PQFP TQFP PRO MATEII PRO MATEII DV73 PC ICD ICD2

More information

陳偉補習班環境介紹

陳偉補習班環境介紹 肆 各 专 业 科 目 可 报 考 学 校 一 览 表 选 考 : 经 济 学 ( 含 政 治 经 济 学 微 观 经 济 学 宏 观 经 济 学 ) 020201 国 民 经 济 学 8 北 京 光 华 管 理 学 020204 金 融 学 83 020205 产 业 经 济 学 4 清 华 经 济 管 理 学 020100 理 论 经 济 学 020200 应 用 经 济 学 6 020201

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

dspic33f 系列参考手册 14.1 简介 本章介绍 dspic33f 系列器件中的电机控制 PWM (MCPWM) 外设 MCPWM 模块的用途 14.2 MCPWM1 模块的特性 MCPWM 用于产生周期性脉冲波形, 这种波形在电机和电源控制应用中很有用 MCPWM 模块作为一

dspic33f 系列参考手册 14.1 简介 本章介绍 dspic33f 系列器件中的电机控制 PWM (MCPWM) 外设 MCPWM 模块的用途 14.2 MCPWM1 模块的特性 MCPWM 用于产生周期性脉冲波形, 这种波形在电机和电源控制应用中很有用 MCPWM 模块作为一 第 14 章电机控制 PWM 目录 本章包括下列主题 : 14.1 简介... 14-2 14.2 MCPWM1 模块的特性... 14-2 14.3 MCPWM2 模块的特性... 14-3 14.4 寄存器说明... 14-4 14.5 特殊功能寄存器... 14-6 14.6 MCPWM 模块架构概述... 14-18 14.7 MCPWM 模块工作模式... 14-20 14.8 PWM 时钟控制...

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

30177t.book

30177t.book Microchip 开 发 系 统 订 购 指 南 2005 年 6 月 2006 Microchip Technology Inc. DS30177T_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

MASTERs 06 Slide Template

MASTERs 06 Slide Template 2009 Microchip Technology Incorporated. 版权所有 C10L08 BAT 第 1 页 C10L08 BAT 便携式产品中的电池管理策略 课程目标 完成课程后, 你将了解到 : 不同化学电池的基本原理和如何在便携式设计中进行应用 针对应用选择合理的电池管理 便携式电源的新趋势以及选择拓扑结构的关键因素 2009 Microchip Technology Incorporated.

More information

感 谢 您 购 买 我 们 的 产 品! 感 谢 您 购 买 我 们 公 司 的 产 品, 我 们 将 竭 诚 为 您 提 供 本 行 业 最 优 良 最 可 靠 的 服 务 本 公 司 产 品 保 修 范 围 广, 服 务 网 点 遍 及 世 界 各 地 您 可 以 通 过 下 列 途 径 寻 找

感 谢 您 购 买 我 们 的 产 品! 感 谢 您 购 买 我 们 公 司 的 产 品, 我 们 将 竭 诚 为 您 提 供 本 行 业 最 优 良 最 可 靠 的 服 务 本 公 司 产 品 保 修 范 围 广, 服 务 网 点 遍 及 世 界 各 地 您 可 以 通 过 下 列 途 径 寻 找 550i TRANSMIG 多 功 能 逆 变 焊 机 Art # A-11590 操 作 手 册 版 本 号 :AB 发 行 日 期 :2013 年 1 月 18 日 手 册 编 号 :0-5271 操 作 特 性 : 380 感 谢 您 购 买 我 们 的 产 品! 感 谢 您 购 买 我 们 公 司 的 产 品, 我 们 将 竭 诚 为 您 提 供 本 行 业 最 优 良 最 可 靠 的 服

More information

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上 PIC 单片机的窗口看门狗定时器 TB3123 作者 : Ashutosh Tiwari Microchip Technology Inc. 简介 窗口看门狗定时器 (Windowed Watchdog Timer, WWDT) 是 PIC 单片机的增强型看门狗定时器 该定时器是 PIC 单片机现有看门狗定时器 (Watchdog Timer, WDT) 的扩展 Microchip 的 WDT 具有可配置的时间阈值上限和固定的时间阈值下限

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

70640A.book

70640A.book AN1292 调整指南 1.1 设置软件参数 本文档介绍了使用 AN1292 利用 PLL 估算器和弱磁技术 (FW) 实现永磁同步电机 (PMSM) 的无传感器磁场定向控制 (FOC) (DS01292A_CN) 中所述的算法来运行电机的分步过程 文件中定义了所有的主要可配置参数 使用 tuning_params.xls Excel 电子表格 ( 见图 1-1) 将参数修改为内部数值格式 此文件包含在

More information

目录 简介 概念 解决方案 / 实现 相关资源... 7 Microchip 网站... 8 变更通知客户服务... 8 客户支持... 8 Microchip 器件代码保护功能... 8 法律声明... 9 商标... 9 DNV 认证的质量管理体系

目录 简介 概念 解决方案 / 实现 相关资源... 7 Microchip 网站... 8 变更通知客户服务... 8 客户支持... 8 Microchip 器件代码保护功能... 8 法律声明... 9 商标... 9 DNV 认证的质量管理体系 什么是 ICM? 如何将 ICM 用于加密 简介 完整性检查监控器 (Integrity Check Monitor,ICM) 是一个 DMA 控制器, 可使用 Cortex M7 MCU 内存 (ICM 描述符区 ) 中的传输描述符在多个存储区上执行哈希计算 ICM 集成了用于哈希的安全哈希算法 (Secure Hash Algorithm,SHA) 引擎 基于 SHA 的哈希适合用于密码验证 质询哈希身份验证

More information

AN1083.book

AN1083.book 作 者 : 简 介 本 应 用 笔 记 说 明 了 无 传 感 器 无 刷 直 流 (Brushless DC, BLDC) 电 机 控 制 算 法, 该 算 法 采 用 dspic 数 字 信 号 控 制 器 (digital signal controller, DSC) 实 现 该 算 法 对 电 机 每 相 的 反 电 动 势 (back-electromotive Force,back-

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information