93001A.book

Size: px
Start display at page:

Download "93001A.book"

Transcription

1 使用 dspic30f 器件实现 BLDC 电机控制入门 作者 : 引言 Stan D Souza Microchip Technology Inc. 由于直流无刷 (BLDC) 电机可降低能耗及维护成本, 因此在对效率和可靠性要求较高的应用场合 BLDC 电机正重新受到关注 在大量应用中, dspic30f 电机控制芯片是多种类型 BLDC 电机的理想驱动和控制器件 Microchip 已经开发了许多基于 dspic30f 和 BLDC 电机的解决方案 本文档将帮助用户为 BLDC 电机应用选择最佳的解决方案 BLDC 电机基本知识 直流有刷电机中的永磁体安装在定子上而电机绕组则安装在转子上 在旋转过程中, 绕组中的电流通过机械碳刷和转子上的换向器进行换向 BLDC 电机的永磁体安装在转子上而电绕组则安装在定子上 BLDC 电机的突出优点在于消除了机械换向器和碳刷, 这将极大增强机械可靠性 直流电机中的换向器和碳刷会导致火花, 因此这些部件的消除意味着 BLDC 电机可以工作在恶劣的环境中 由于 BLDC 电机绕组铜耗 I 2 R 发生在定子中, 因此可方便通过电机机壳进行散热 BLDC 电机的效率从而得到极大的提升 然而, 与普通直流电机相比 BLDC 电机控制较为复杂 首先, 需在电机绕组中建立一个旋转的电枢磁场 该电枢磁场方向必须根据转子永磁磁场位置进行调整 BLDC 电机的效率很大程度上取决于两个磁场的相对位置关系 通常使用霍尔位置传感器来检测转子磁场位置 根据来自霍尔传感器的信号正确对绕组进行激励 不过当转子速度升高时, 由于绕组电感的作用, 电压激励与其在绕组中产生的电流效应之间存在一定程度的延迟 为克服该延迟, 通常将电压激励提前一些 这种现象称为相位超前, 主要在高转速时通过软件实现 采用相位超前技术可改善 BLDC 电机运行的效率 有位置传感器的 BLDC 电机控制 当对 BLDC 电机进行驱动时, 必须知道相对于定子的转子磁场位置 最常见的方法是通过霍尔效应传感器来产生转子位置反馈信号 此类型控制称为有传感器 BLDC 电机控制 大多数 BLDC 电机具有三相绕组 根据转子磁场的位置, 每一个时刻只对其中两相绕组进行供电 这样每相将导通 120 电角度, 可实现 6 种不同的激励组合 这种驱动方法称为 方波 (trapezoidal) 或 六拍换相 控制 六拍换相图 1 对六拍换相方式进行了描述并给出霍尔传感器输出信号的对照图 六拍换相提供了一种简单但高效的 BLDC 电机驱动方法 Hall A(HA) Hall B(HB) 和 Hall C(HC) 用于检测相对于 R Y 和 B 绕组的转子位置 根据来自霍尔传感器的读数 (1 至 6), 将分别驱动相应的两相绕组而第三相则不通电 每一个 360 电角度周期将被分为 6 个 60 度电角度区间, 在每一个 60 度电角度区间中, 一相绕组将被驱动为高电平, 第二相则被驱动为低电平而第三相则将不通电 例如 : 在霍尔位置 6 或区间 1,R 绕组将被驱动至高电平而 B 绕组将被驱动为低电平, Y 绕组将不导通 通过读入霍尔传感器状态, 使用软件方式可方便实现六拍换相算法 图 1: HA R HB Y HC B 区间霍尔位置 典型六拍换相 Microchip Technology Inc. DS93001A_CN 第 1 页

2 使用正弦电压驱动有传感器 BLDC 电机 当作为发电机运行时, BLDC 电机将在三相绕组中产生正弦电压输出 ( 互差 120 度电角度 ) 因此自然的驱动方式是采用三个互差 120 度电角度的正弦电压对 BLDC 电机进行驱动 在大多数 BLDC 应用中, 六拍换相方式通常可实现高效运行 然而, 在某些应用中六拍换相方式中的 PWM 电压调制有时会导致转矩脉动, 而转矩脉动是导致一些系统中出现低频振动的原因 除六拍换相方式外, 也可使用空间矢量调制 (Space Vector Modulation,SVM) 技术产生正弦 PWM 波电压以驱动三相绕组 ( 互差 120 度电角度 ) 与六拍换相方式相比, 该方法不但可实现高效率运行还可实现无脉动的转矩输出 Microchip 正在开发基于此技术的应用笔记 无位置传感器 BLDC 电机控制 位置传感器增加了 BLDC 电机应用的成本 同时, 在制造过程中需对位置传感器进行调整 然而, 在相当多的应用中并不需要获取精确的转子位置 运行于恒速或调速范围有限的风机和压缩机电机即是这类应用的典型例子 在这些应用场合中, 在未通电绕组上检测到的反电动势 EMF 可用作切换电机绕组 PWM 换相的控制信号 图 2 显示了典型无传感器控制的换相原理图 在此方法中, 每一个区间内对未通电相绕组上的反电动势 EMF 电压进行检测 当此电压经过 中点 或 过零点 时, 即检测到过零发生 控制算法即可知道此时位于 60 度电角度区间的中点且距离下一次换相还有 30 度电角度 每一个区间所需的时间 (60 度电角度 ) 称为 T60 当检测到过零点时, 定时器将装载一个数值为 T60 的一半的设定值 当定时器发生超时时, 将产生中断并实现下一次绕组换相 此控制方法称为 BLDC 电机的无传感器控制 例如, 在区间 1 中, 将监视 Y 绕组的过零点 当过零发生时, 定时器将装入 T60 时间的一半作为设定值 当该定时器超时时, 绕组将按照前面介绍的方式进行换相 即 Y 绕组被驱动为高电平, B 绕组保持低电平而 R 绕组将不通电 Microchip 已经发布两个关于无位置传感器 BLDC 控制的应用笔记 :AN901, dspic30f 在无传感器 BLDC 控制中的应用, 以及 AN992, 用 dspic30f2010 控制无传感器 BLDC 电机 图 2: R Y B 区间 典型无传感器控制的换相 T60 T DS93001A_CN 第 2 页 2006 Microchip Technology Inc.

3 dspic30f 应用笔记 以下是一些有关使用 dspic30f 实现 BLDC 电机控制的应用笔记, 这些应用笔记将有助于用户快速开始开发 BLDC 电机控制项目 AN957, 使用 dspic30f2010 控制带传感器的 BLDC 电机 本应用笔记介绍了如何使用 28 引脚 dspic30f2010 实现带位置传感器 BLDC 电机的简单开环和闭环控制解决方案 在该方案中, 使用上面介绍的六拍换相模式对具有位置传感器的 BLDC 电机进行控制 本应用中使用的硬件平台为 PICDEM MC LV 开发板 只需微小改动, 本应用笔记所述方案也可适用于 Microchip 的任何其他硬件平台 ( 参见后续有关电机控制开发板的章节 ) 其中固件经过微小改动也可适用于任何 dspic30f 电机控制器件 由于具有片内电机控制 PWM 霍尔传感器和 QEI 输入模块, 以及可计算多重 PID 控制环的 DSP 引擎, 因此 dspic30f2010 是本应用的理想控制器件 AN901, dspic30f 在无传感器 BLDC 控制中的应用 本应用笔记介绍了如何使用前述的反电动势 EMF 检测技术实现无位置传感器 BLDC 电机控制 反电动势 EMF 电压经过分压衰减后送至 dspic 数字信号控制器 (Digital Signal Controller,DSC) 的 ADC 输入端 随后使用高速 ADC 对过零事件进行检测 此技术提供了一种十分高效的控制方法来实现无位置传感器 BLDC 电机起动和运行, 所需元器件数最少 应用中使用的硬件是 dspicdem MC1 电机控制开发板配合 dspicdem MC1L 三相低压功率模块或 dspicdem MC1H 三相高压功率模块 本应用中 MC1 开发板中使用 dspic30f6010 器件 此应用笔记中详细介绍了如何起动和运行无位置传感器的 BLDC 电机 然而, 此控制方法适用于市场上所有的 BLDC 电机 应用笔记中提供了详细说明以帮助用户对起动和运行 BLDC 电机所需的 45 个参数进行配置 用户可通过 MC1 开发板上提供的 LCD 和按钮对全部 45 个用户参数进行设定 固件支持四种不同的控制模式和两种起动模式 硬件驱动部分通过 37 引脚 D 型连接器连接至高电压或低电压功率模块, 可适用于电压范围从 10 至 400 VDC 的 BLDC 电机 也可对固件进行修改以适用于其他任何 dspic30f 电机控制器件 由于包括片内电机控制 PWM 霍尔传感器与 QEI 输入模块以及采样反电动势 EMF 和检测过零事件所需的快速 ADC, 因此 dspic30f6010 是本应用的理想器件 可使用该款器件强大的 DSP 引擎计算多重 PID 控制环 AN992, 用 dspic30f2010 控制无传感器 BLDC 电机 此应用笔记中的解决方案在 AN901 的基础上更进一步, 且提供了一种低成本和高效的实现方案 该解决方案基于目前最小的 dspic30f 电机控制器件, 即 28 引脚 dspic30f2010, 该芯片具有 12 K 字节程序存储器和 512 字节 RAM 应用中的硬件得到简化且使用单独的 PICDEM MC LV 开发板作为硬件开发平台 由于 PICDEM MC LV 开发板不具有 LCD 且 dspic30f2010 的 I/O 数目有限, 因此只能使用 PC 通过串口和超级终端链接进行 45 个用户参数的设定 PICDEM MC LV 只能支持从 10 至 40 VDC 的电压范围, 因此只有低压 BLDC 电机才能在此控制板上运行 然而, 本应用中使用的技术可作进一步推广 如果要提供更高电压和电流的驱动器以支持高电压和高电流应用, 则硬件稍加修改即可运行电压范围从 40V 至 400V DC 的 BLDC 电机 由于片内包含电机控制 PWM 霍尔传感器与 QEI 输入模块以及采样反电动势 EMF 和检测过零事件所需的快速 ADC, 因此 dspic30f2010 是本应用的理想器件 可使用该款器件强大的 DSP 引擎计算多重 PID 控制环 2006 Microchip Technology Inc. DS93001A_CN 第 3 页

4 用于控制 BLDC 电机的 dspic30f 硬件模块 Micorchip 提供数目众多的硬件工具来帮助用户实现自己的 BLDC 电机控制方案 图 3: PICDEM MC LV 开发板 板上配置有两行 20 字符 LCD 和 4 个 LED 用于显示目的 板上还提供 4 个按钮和 2 个电位器用于数据输入和反馈 多余的模拟和数字引脚则通过两个接头排引出 由于板上未配置驱动电路, 因此 MC1 开发板必须连接到外部驱动系统 应使用 37 引脚的 D 型连接器连接 MC1 开发板和 dspicdem MC1H 三相高压模块 ( 图 5) 或 dspicdem MC1L 三相低压模块 ( 图 6) D 型连接器经过光电隔离连接至外部电路, 因此可实现安全 电气隔离的高压驱动 (400 VDC) 可使用 dspicdem MC1 电机控制开发板配合 dspicdem MC1H 三相高压功率模块驱动高压 BLDC 电机 有关开发板性能和功能的详细说明, 可参见 dspicdem MC1 Motor Control Development Board User s Guide (DS70098) 图 4: dspicdem MC1 电机控制开发板 PICDEM MC LV 开发板 此板提供配置齐全的低电压开发平台 ( 图 3), 支持所有 28 引脚的 dspic30f 电机控制器件, 包括 dspic30f2010 dspic30f3010 和 dspic30f4012 该板的硬件配置支持有位置传感器以及无位置传感器的 BLDC 电机控制应用 厂商发货的板支持额定电压为 24V 的电机 ; 然而, 板上硬件在 10V 至 40V 的电压范围内可容许电机电流达 4 A 板上配置串口可实现与外部信号源的通信 板上提供了 MPLAB ICD 2 在线调试器连接可用于编程和调试 同时提供了一个电位器以及两个开关分别用于速度调节和起 / 停控制 板上配置的功率驱动器可直接驱动 BLDC 电机 低端的大功率电阻用于将电流和故障检测信号反馈至 dspic DSC PICDEM MC LV Development Board User s Guide (DS51554) 详细说明了该板的使用方法 [Insert photo of dspicdem MC1 Board] dspicdem MC1 电机控制开发板 dspicdem MC1 电机控制开发板 ( 图 4) 是一款基于 dspic30f6010 的通用开发板, 可实现包括有位置传感器和无位置传感器 BLDC 电机在内的宽范围电机控制应用 开发板配置有 RS-232 串口和 CAN 端口以及用于编程和调试目的的 ICD 2 在线调试器连接 DS93001A_CN 第 4 页 2006 Microchip Technology Inc.

5 图 5: dspicdem MC1H 三相 HV 模块 图 6: dspicdem MC1L 三相 LV 模块 dspicdem MC1H 三相高压功率模块 将高压模块 ( 图 5) 连接至 MC1 开发板将形成一个高压 BLDC 电机控制系统 dspicdem MC1H 三相高压功率模块可提供高压隔离以及故障 过电流和过电压保护功能 通过快速电流传感器对每一相电流进行检测并在故障条件发生时通过可靠的闭锁电路网络禁止输出 该保护功能在代码开发阶段是十分必要的, 可以避免软件中的疏忽造成驱动电路意外损坏 高压模块对 110 VAC 的单相墙式输入电压进行整流以产生 165 VDC 的 DC 总线电压 也可对 220 VAC 的单相墙式输入电压进行整流以产生 330 VDC 的 DC 总线电压 然后对此 DC 总线电压进行转换以驱动三相电机 此硬件可用于驱动 ACIM 和 BLDC 电机 有关开发板性能和功能的详细说明, 可参见 dspicdem MC1H 3- Phase High-Voltage Power Module User s Guide (DS70096) dspicdem MC1L 三相低压功率模块 将低压模块 ( 图 6) 连接至 MC1 开发板将形成低压 BLDC 电机控制系统 dspicdem MC1L 三相低电压功率模块可提供电压隔离以及故障 过电流 过电压保护功能 通过快速电流传感器对每一相电流进行检测并在故障条件发生时通过可靠的闭锁电路网络禁止输出 该保护功能在代码开发阶段是十分必要的, 可以避免软件中的疏忽造成驱动电路意外损坏 DC 电压由外部电源提供 然后对此 DC 总线电压进行转换以驱动三相电机 此硬件可用于驱动三相低压 BLDC 电机 有关开发板性能和功能的详细说明, 可参见 dspicdem MC1L 3- Phase Low-Voltage Power Module User s Guide (DS70097) 2006 Microchip Technology Inc. DS93001A_CN 第 5 页

6 基于 dspic30f 器件的不同 BLDC 电机控制硬件平台 用户可按照选型一览表 ( 表 1) 选取不同的 Microchip 硬件平台以满足特定的应用需要 注意, 尽管给定硬件平台所支持的 dspic DSC 器件数目有限, 但用户可根 据自己应用的需求构建基于 dspic30f 电机控制器件的子板并将其接插到 PICDEM MC LV 或 MC1 开发板中的插槽或接头引脚 表 1: 选型一览 BLDC 电机类型 工作电压范围 (VDC) 功率范围 ( 瓦 ) 应用笔记 推荐使用的硬件平台 所支持的 dspic30f 器件 有传感器 10 至 至 200 AN957 PICDEM MC LV dspic30f2010 dspic30f3010 dspic30f4012 有传感器 40 至 400 最高达 800 AN957 MC1 和高压功率模块 dspic30f6010 有传感器 10 至 48 最高达 600 AN957 MC1 和低压功率模块 dspic30f6010 无传感器 10 至 40 AN992 PICDEM MC LV dspic30f2010 dspic30f3010 dspic30f4012 无传感器 40 至 400 最高达 800 AN901 MC1 和高压功率模块 dspic30f6010 无传感器 10 至 48 最高达 600 AN901 MC1 和低压功率模块 dspic30f6010 无传感器 40 至 400 按照用户设计 AN992 PICDEM MC LV ( 可根据用户设计将 其修改为支持高电压 ) dspic30f2010 dspic30f3010 dspic30f4012 订购信息和编号 PICDEM MC LV 开发板 :DM 电源 ( 可选 ):AC 电机 ( 配电缆 ):AC PICDEM MC LV Development Board User s Guide (DS51554) dspicdem MC1 电机控制开发板 :DM dspicdem MC1 Motor Control Development Board User s Guide (DS70098) dspicdem MC1H 三相高压功率模块 :DM dspicdem MC1H 3-Phase High-Voltage Power Module User s Guide (DS70096) dspicdem MC1L 三相低压功率模块 :DM dspicdem MC1L 3-Phase Low-Voltage Power Module User s Guide (DS70097) DS93001A_CN 第 6 页 2006 Microchip Technology Inc.

7 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意 甚至是非法破坏代码保护功能的行为 就我们所知, 所有这些行为都不是以 Microchip 数据手册中规定的操作规范来使用 Microchip 产品的 这样做的人极可能侵犯了知识产权 Microchip 愿与那些注重代码完整性的客户合作 Microchip 或任何其他半导体厂商均无法保证其代码的安全性 代码保护并不意味着我们保证产品是 牢不可破 的 代码保护功能处于持续发展中 Microchip 承诺将不断改进产品的代码保护功能 任何试图破坏 Microchip 代码保护功能的行为均可视为违反了 数字器件千年版权法案 (Digital Millennium Copyright Act) 如果这种行为导致他人在未经授权的情况下, 能访问您的软件或其他受版权保护的成果, 您有权依据该法案提起诉讼, 从而制止这种行为 提供本文档的中文版本仅为了便于理解 请勿忽视文档中包含的英文部分, 因为其中提供了有关 Microchip 产品性能和使用情况的有用信息 Microchip Technology Inc. 及其分公司和相关公司 各级主管与员工及事务代理机构对译文中可能存在的任何差错不承担任何责任 建议参考 Microchip Technology Inc. 的英文原版文档 本出版物中所述的器件应用信息及其他类似内容仅为您提供便利, 它们可能由更新之信息所替代 确保应用符合技术规范, 是您自身应负的责任 Microchip 对这些信息不作任何明示或暗示 书面或口头 法定或其他形式的声明或担保, 包括但不限于针对其使用情况 质量 性能 适销性或特定用途的适用性的声明或担保 Microchip 对因这些信息及使用这些信息而引起的后果不承担任何责任 如果将 Microchip 器件用于生命维持和 / 或生命安全应用, 一切风险由买方自负 买方同意在由此引发任何一切伤害 索赔 诉讼或费用时, 会维护和保障 Microchip 免于承担法律责任, 并加以赔偿 在 Microchip 知识产权保护下, 不得暗中或以其他方式转让任何许可证 商标 Microchip 的名称和徽标组合 Microchip 徽标 Accuron dspic KEELOQ microid MPLAB PIC PICmicro PICSTART PRO MATE PowerSmart rfpic 和 SmartShunt 均为 Microchip Technology Inc. 在美国和其他国家或地区的注册商标 AmpLab FilterLab Migratable Memory MXDEV MXLAB SEEVAL SmartSensor 和 The Embedded Control Solutions Company 均为 Microchip Technology Inc. 在美国的注册商标 Analog-for-the-Digital Age Application Maestro dspicdem dspicdem.net dspicworks ECAN ECONOMONITOR FanSense FlexROM fuzzylab In-Circuit Serial Programming ICSP ICEPIC Linear Active Thermistor Mindi MiWi MPASM MPLIB MPLINK PICkit PICDEM PICDEM.net PICLAB PICtail PowerCal PowerInfo PowerMate PowerTool REAL ICE rflab rfpicdem Select Mode Smart Serial SmartTel Total Endurance UNI/O WiperLock 和 ZENA 均为 Microchip Technology Inc. 在美国和其他国家或地区的商标 SQTP 是 Microchip Technology Inc. 在美国的服务标记 在此提及的所有其他商标均为各持有公司所有 2006, Microchip Technology Inc. 版权所有 Microchip 位于美国亚利桑那州 Chandler 和 Tempe 位于俄勒冈州 Gresham 及位于加利福尼亚州 Mountain View 的全球总部 设计中心和晶圆生产厂均于通过了 ISO/TS-16949:2002 认证 公司在 PICmicro 8 位单片机 KEELOQ 跳码器件 串行 EEPROM 单片机外设 非易失性存储器和模拟产品方面的质量体系流程均符合 ISO/TS-16949:2002 此外, Microchip 在开发系统的设计和生产方面的质量体系也已通过了 ISO 9001:2000 认证 2006 Microchip Technology Inc. DS93001A_CN 第 7 页

8 全球销售及服务网点 美洲 亚太地区 亚太地区 欧洲 公司总部 Corporate Office 2355 West Chandler Blvd. Chandler, AZ Tel: Fax: 技术支持 : 网址 : 亚特兰大 Atlanta Alpharetta, GA Tel: Fax: 波士顿 Boston Westborough, MA Tel: Fax: 芝加哥 Chicago Itasca, IL Tel: Fax: 达拉斯 Dallas Addison, TX Tel: Fax: 底特律 Detroit Farmington Hills, MI Tel: Fax: 科科莫 Kokomo Kokomo, IN Tel: Fax: 洛杉矶 Los Angeles Mission Viejo, CA Tel: Fax: 圣何塞 San Jose Mountain View, CA Tel: Fax: 加拿大多伦多 Toronto Mississauga, Ontario, Canada Tel: Fax: 中国 - 北京 Tel: Fax: 中国 - 成都 Tel: Fax: 中国 - 福州 Tel: Fax: 中国 - 香港特别行政区 Tel: Fax: 中国 - 青岛 Tel: Fax: 中国 - 上海 Tel: Fax: 中国 - 沈阳 Tel: Fax: 中国 - 深圳 Tel: Fax: 中国 - 顺德 Tel: Fax: 中国 - 武汉 Tel: Fax: 中国 - 西安 Tel: Fax: 台湾地区 - 高雄 Tel: Fax: 台湾地区 - 台北 Tel: Fax: 台湾地区 - 新竹 Tel: Fax: 澳大利亚 Australia - Sydney Tel: Fax: 印度 India - Bangalore Tel: Fax: 印度 India - New Delhi Tel: Fax: 印度 India - Pune Tel: Fax: 日本 Japan - Yokohama Tel: Fax: 韩国 Korea - Gumi Tel: Fax: 韩国 Korea - Seoul Tel: Fax: 或 马来西亚 Malaysia - Penang Tel: Fax: 菲律宾 Philippines - Manila Tel: Fax: 新加坡 Singapore Tel: Fax: 泰国 Thailand - Bangkok Tel: Fax: 奥地利 Austria - Wels Tel: Fax: 丹麦 Denmark-Copenhagen Tel: Fax: 法国 France - Paris Tel: Fax: 德国 Germany - Munich Tel: Fax: 意大利 Italy - Milan Tel: Fax: 荷兰 Netherlands - Drunen Tel: Fax: 西班牙 Spain - Madrid Tel: Fax: 英国 UK - Wokingham Tel: Fax: /16/06 DS93001A_CN 第 8 页 2006 Microchip Technology Inc.

00872a.book

00872a.book 从 MCP2510 升 级 至 MCP2515 作 者 : 介 绍 Pat Richards Microchip Technology Inc. 开 发 MCP2510 独 立 CAN 控 制 器 的 初 衷 是 赋 予 CAN 系 统 和 模 块 设 计 人 员 更 多 的 灵 活 性, 允 许 他 们 为 自 己 的 应 用 选 择 最 好 的 处 理 器 使 用 MCP2510 不 会 使 设

More information

untitled

untitled C 8051 MCU SPI EEPROM Alexandru Valeanu Microchip Technology Inc. Microchip Technology 25XXX EEPROM SPI 25XXX EEPROM SO 25XXX EEPROM 3MHz 20 MHz SPI HOLD 25XXX EEPROM EEPROM MCU HOLD 25XXX EEPROM SPI EEPROM

More information

untitled

untitled N1113 8051MU I 2 EEPROM lexandru Valeanu Microchip Technology Inc. Microchip Technology 24XXX EEPROM 2 SL 24XXX EEPROM 24XXX EEPROM I 2 100 khz 1MHz 24XXX EEPROM 2 I 2 EEPROM 128 512 b 100 khz 1MHz 1.7V

More information

01262B.book

01262B.book 使用 C30 编译器实现单片机与片外串行 SRAM 的通信 作者 : 引言 Martin Bowman Microchip Technology Inc. 适用于 Microchip 的 dspic DSC 和 PIC24 系列单片机的 C30 编译器为寻址外部存储器提供了一个解决方案 该编译器支持这样的功能, 即允许用户按照访问 MCU 的片内存储器的方式来访问外部存储器 本应用笔记主要介绍如何使用

More information

21999a_cn.book

21999a_cn.book 1.5A 低 电 压 低 静 态 电 流 LDO 稳 压 器 MCP1727 特 性 输 出 电 流 能 力 为 1.5A 输 入 工 作 电 压 范 围 :2.3V 至 6.0V 可 调 输 出 电 压 范 围 :0.8V 至 5.0V 标 准 固 定 输 入 电 压 : - 0.8V 1.2V 1.8V 2.5V 3.0V 3.3V 和 5.0V 可 根 据 需 要 提 供 其 他 固 定 输

More information

21928a.book

21928a.book PS080, PowerTool 800 开发软件用户指南 2005 Microchip Technology Inc. DS21928A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

61127c_cn.book

61127c_cn.book 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 列 主 题 : 1.1 简 介... 1-2 1.2 本 手 册 的 目 标... 1-2 1.3 器 件 结 构... 1-2 1.4 开 发 支 持... 1-4 1.5 样 式 和 符 号 约 定... 1-4 1.6 相 关 文 档... 1-6 1.7 版 本 历 史... 1-7 2010 Microchip Technology

More information

41632B.book

41632B.book 互 补 波 形 发 生 器 (CWG) 可 配 置 逻 辑 单 元 (CLC) 和 数 控 振 荡 器 (NCO) 外 设 技 巧 与 诀 窍 简 介 Microchip 致 力 于 不 断 向 客 户 提 供 体 积 更 小 速 度 更 快 使 用 更 简 便 与 运 行 更 可 靠 的 创 新 产 品 我 们 的 闪 存 PIC MCU 已 广 泛 应 用 于 日 常 生 活 中 从 烟 雾 探

More information

21498c_cn.book

21498c_cn.book 精密温度 - 电压转换器 特性 工作电压范围 : - TC147:2.7V 至 4.4V - TC147A:2.5V 至 5.5V 宽温度测量范围 : - -4 C 至 +125 C 温度转换精度高 : - 在 25 C 时为 ±2 C ( 最大值 ) 线性温度斜率 :1 mv/ C ( 典型值 ) 提供 3 引脚 SOT-23B 封装 低工作电流 : - 35 µa 典型值 应用 移动电话 电源过热关断控制

More information

61146A.book

61146A.book PIC32 2008 Microchip Technology Inc. DS61146A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip

More information

PIC18F65J90/85J90 Product Brief

PIC18F65J90/85J90 Product Brief 采用纳瓦技术内置 LCD 驱动器的 64/80 引脚高性能单片机 单片机的特殊性能 : 闪存程序存储器可承受 1000 次擦写 ( 典型值 ) 闪存数据保存时间为 20 年 ( 典型值 ) 软件控制下可自编程 中断具有优先级 8 x 8 单周期硬件乘法器 扩展的看门狗定时器 (Watchdog Timer, WDT): - 周期从 4 ms 到 131s, 可编程设定 通过两个引脚可进行在线串行编程

More information

21666b.book

21666b.book 具 有 关 断 功 能 的 80 ma 小 型 CMOS LDO TC1016 特 性 节 省 空 间 的 5 引 脚 SC-70 和 SOT-23 封 装 极 低 的 工 作 电 流, 利 于 延 长 电 池 寿 命 : 典 型 值 53 µa 很 低 的 电 压 差 (Dropout Voltage) 额 定 输 出 电 流 :80 ma 仅 需 一 个 1µF 的 陶 瓷 输 出 电 容 高

More information

CTMU Temperature Measurement AN.book

CTMU Temperature Measurement AN.book 利用 PIC MCU 中的 CTMU 测量温度 TB3016 作者 : 最新一代 PIC24F 和 PIC18F 器件包含的充电时间测量单元 (Charge Time Measurement Unit,CTMU) 使用恒流源来计算电容值的变化以及事件的间隔时间 运用半导体物理学的基本原理, 同样的电流源也可用来测量温度 这允许使用普通而廉价的二极管来取代相对昂贵的热敏电阻和温度传感器 本技术简介描述了使用

More information

MCP47x6_DaughterBoard.book

MCP47x6_DaughterBoard.book MCP47X6 PICtail Plus 子 板 用 户 指 南 DS51932B_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系 列 产 品

More information

00966A.book

00966A.book SPI 串行 EEPROM 与 PICmicro 单片机的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 目前市场上有许多种单片机用在嵌入式控制系统设计中, 这些嵌入式控制系统中的很大一部分都要用到非易失性存储器 由于串行 EEPROM 具有封装尺寸小, 存储容量灵活, 对 I/O 引脚要求低, 和低功耗低成本等特点, 已成为非易失性存储器的首选

More information

93004A.book

93004A.book 用 dspic DSC MCPWM 模块驱动交流感应电机 作者 : Jorge Zambada Microchip Technology Inc. 如图 1 所示, 电机绕组的电感对 PWM 电压源的电流进行滤波 正如下文即将介绍的, 基于该原理我们可利用 PWM 信号产生正弦波来对三相 ACIM 进行通电 引言 本文档概括介绍了采用 dspic3f 电机控制数字信号控制器系列芯片实现的电机控制 PWM

More information

untitled

untitled MCP3421 DS51683A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology Inc. Microchip

More information

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP 在 v2013-06-15 MLA USB 设备和主机协议栈中更新 PIC32MX 支持 TB3109 简介 我们提供适合 PIC32MX MCU 的 Microchip 应用程序库 (Microchip Libraries for Applications,MLA), 但未计划向 MLA 添加新功能 v2013-06-15 MLA USB 协议栈本质上支持所有适用的 PIC32MX MCU 器件

More information

01018A.book

01018A.book 使用 C18 编译器进行 SPI 串行 EEPROM 与 PIC18 单片机的接口设计 作者 : 引言 Chris Parris Microchip Technology Inc. Microchip Technology 生产的 25XXX 系列串行 EEPROM 兼容 SPI 通信标准, 最大时钟频率范围从 3MHz 到 20 MHz 许多时候, 在设计利用串行 EEPROM 器件的应用时, 使用非专用

More information

70641A.book

70641A.book AN1299 调整指南 1.1 配置双电流检测电阻模式 本文档介绍了 AN1299 PMSM 无传感器 FOC 的单电流检测电阻三相电流重构算法 (DS01299A_CN) 中所述算法调整所需的步骤和设置 1. 第一步, 用户需完成 AN1078 中的调整过程, 其中介绍了在具体电机和硬件上运行滑模控制器 (Slide Mode Controller, SMC) 所需的全部步骤 2. 应用运行后,

More information

00686A.Book

00686A.Book 理解和使用监控电路 AN686 概要 本应用笔记讨论了什么是单片机监控器件, 为什么需要这些器件以及在选择这些器件时需要考虑的一些因素 监控器件属于一个很广的范畴, 它涵盖了上电复位 (Power on Reset,POR) 器件 欠压检测 (Brown-Out Detect,BOD) 器件和看门狗定时器器件 本应用笔记将仅讨论具有 POR 和 BOD 功能的监控器件 监控电路的工作 监控电路可用于几种不同的应用,

More information

00513D_CN.FM

00513D_CN.FM 使用 PIC16C54 实现模数转换 AN513 作者 : 简介 本应用笔记介绍了一种使用 PIC16C5X 系列单片机实现模数转换 (Analog to Digital,A/D) 的方法 仅需 5 个外部元件即可实现该转换器, 且可通过软硬件配置使转换分辩率达到 6 到 10 位且转换时间不短于 250 µs 这一方法可用于电压和电流转换且使用软件校准技术来补偿由于时间和温度漂移以及元件误差造成的精度误差

More information

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO 使用 Microchip 的 dspic 数字信号控制器 (DSC) 和模拟器件实现脉冲血氧仪设计 作者 : Zhang Feng Microchip Technology Inc. 简介 脉冲血氧仪是一种用于监测患者血氧饱和度和心率的非侵入式医疗设备 本应用笔记演示了如何使用 Microchip 的 dspic 数字信号控制器 (Digital Signal Controller, DSC) 和模拟器件来实现高精度脉冲血氧仪

More information

AN901.book

AN901.book dspic30f 在 无 传 感 器 BLDC 控 制 中 的 应 用 AN901 著 者 : 合 著 者 : 引 言 本 应 用 笔 记 描 述 了 一 种 完 全 可 行 且 高 度 灵 活 的 软 件 应 用, 使 用 dspic30f 来 控 制 无 传 感 器 无 刷 直 流 (brushless DC,BLDC) 电 机 此 软 件 将 dspic30f 外 设 广 泛 应 用 于 电

More information

2.0 MHz, 500 mA Synchronous Buck Regulator

2.0 MHz, 500 mA Synchronous Buck Regulator 2.0 MHz 500 ma 同 步 降 压 稳 压 器 MCP1603 特 性 典 型 效 率 大 于 90% 输 出 电 流 最 高 为 500 ma 低 静 态 电 流 = 45 µa ( 典 型 值 ) 低 关 断 电 流 = 0.1 µa ( 典 型 值 ) 可 调 输 出 电 压 : - 0.8V 至 4.5V 固 定 输 出 电 压 : - 1.2V 1.5V 1.8V 2.5V 和

More information

00992A.book

00992A.book 用 dspic30f2010 控制无传感器 BLDC 电机 AN992 作者 : 概述 Stan D Souza Microchip Technology 本应用笔记介绍了如何使用 dspic30f2010 数字信号控制器控制无传感器的 BLDC 电机 应用中采用的技术是基于另一 Microchip 应用笔记 : dspic30f 在无传感器 BLDC 控制中的应用 (AN901) 本文介绍了如何将

More information

MCP1630

MCP1630 可 用 单 片 机 控 制 的 高 速 脉 宽 调 制 器 特 性 高 速 PWM 操 作 ( 电 流 检 测 至 输 出 有 12 ns 延 时 ) 工 作 温 度 范 围 : - -4 C 至 125 C 精 确 峰 值 电 流 限 制 (±5%)(MCP163) 电 压 模 式 和 平 均 电 流 模 式 控 制 (MCP163V) CMOS 输 出 驱 动 器 ( 直 接 驱 动 MOSFET

More information

93002A.book

93002A.book 使用 QEI 模块测量速度和位置 GS002 作者 : 引言 本文档给出了电机控制系列 dspic30f 数字信号控制器中正交编码器 (Quadrature Encoder Interface, QEI) 模块的概述 还提供了一个需要测量转子速度和位置的典型电机控制应用的代码示例 QEI 模块 Jorge Zambada Microchip Technology Inc. 正交编码器 ( 又称增量式编码器或光电式编码器

More information

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光 用 于 光 探 测 应 用 的 MCP649 运 放 AN494 作 者 : 简 介 Yang Zhen Microchip Technology Inc. 许 多 光 探 测 应 用 中 经 常 使 用 低 输 入 偏 置 运 算 放 大 器 ( 运 放 ), 以 降 低 电 流 误 差 和 提 高 输 出 信 号 的 精 度 以 下 为 典 型 的 光 探 测 应 用 : 烟 雾 探 测 器 火

More information

33023A.book(31001A_cn.fm)

33023A.book(31001A_cn.fm) 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 面 一 些 主 要 内 容 : 1.1 简 介...1-2 1.2 本 手 册 的 宗 旨... 1-3 1.3 器 件 结 构... 1-4 1.4 开 发 支 持... 1-6 1.5 器 件 种 类... 1-7 1.6 格 式 和 符 号 的 约 定... 1-12 1.7 相 关 文 档... 1-14 1.8 相 关 应 用 笔

More information

0507A_Auto Tech_Microchip

0507A_Auto Tech_Microchip 汽 车 无 线 安 全 接 入 技 术 解 决 方 案 Youbok Lee 主 任 工 程 师 Willie Fitzgerald 产 品 营 销 总 监 Microchip Technology Inc. 引 言 全 球 汽 车 半 导 体 面 临 极 大 的 市 场 机 会, 而 汽 车 设 计 工 程 师 正 在 应 对 高 性 价 比 的 性 能 增 强 功 耗 小 尺 寸 和 安 全 性

More information

01277A_cn.book

01277A_cn.book 使用 C32 编译器进行串行 SRAM 器件与 PIC32 MCU 的接口设计 作者 : 引言 Pinakin K Makwana Microchip Technology Inc. Microchip 的串行 SRAM 产品线提供了向应用添加附加 RAM 的一种新方法 这些器件采用 8 引脚小型封装以及 SPI 接口, 使设计人员提升系统的灵活性 Microchip Technology 的 23XXXX

More information

01096B.book

01096B.book 使用 C30 编译器进行 SPI 串行 EEPROM 与 dspic33f 和 PIC24F 的接口设计 AN1096 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. Microchip 的 25XXX 系列串行 EEPROM 均与 SPI 兼容, 其最大时钟频率在 3 MHz 到 20 MHz 的范围内 许多时候, 当设计利用串行 EEPROM

More information

00905A.book

00905A.book 有刷直流电机基础 AN905 作者 : 简介 有刷直流电机被广泛用于从玩具到按钮调节式汽车坐椅的应用中 有刷直流 (Brushed DC, BDC) 电机价格便宜 易于驱动并且易于制造成各种尺寸和形状 本应用笔记将讨论 BDC 电机的工作原理 驱动 BDC 电机的方法以及将驱动电路与 PIC 单片机接口的方法 工作原理 Reston Condit Microchip Technology Inc.

More information

39697b.book

39697b.book 第 9 章看门狗定时器 (WDT) 目录 本章包括下列主题 : 9.1 简介...9-2 9.2 WDT 工作原理... 9-3 9.3 寄存器映射... 9-7 9.4 设计技巧... 9-8 9.5 相关应用笔记... 9-9 9.6 版本历史... 9-10 9 看门狗定时器 (WDT) 2010 Microchip Technology Inc. DS39697B_CN 第 9-1 页 PIC24F

More information

01236B.book

01236B.book 使用 C3 和定时器连接 dspic33 DSC 和 PIC24 MCU 与兼容 UNI/O 总线的串行 EEPROM 作者 : 简介 Martin Kvasnicka Microchip Technology Inc. 随着嵌入式系统小型化的趋势, 市场对于减少器件间通信所用 I/O 引脚数的需求也与日俱增 Microchip 开发的 UNI/O 总线正满足了这一需求, 这一个低成本且易于实现的解决方案,

More information

Using Microchip’s Micropower LDOs

Using Microchip’s Micropower LDOs 使用 Microchip 的微功率 LDO AN765 作者 : 简介 Paul Paglia, 公式 1: Microchip Technology Inc. = V REF [( ) 1] V REF = 1.20V Microchip Technology, Inc 的微功率 LDO 系列采用低电压 CMOS 工艺技术 这些 LDO 提供与双极型稳压器类似的纹波抑制和压差特性, 但效率却显著提高了

More information

01019A.book

01019A.book EEPROM 耐擦写能力教程 作者 : 基本术语 David Wilkie Microchip Technology Inc. 耐擦写能力 (Endurance) ( 指 EEPROM) 的定义中包含一些需要明确定义和理解的词语和短语 从以下段落可以看出, 不同厂商使用不同的标准 耐擦写循环 (Endurance Cycling) 是所有厂商 ( 和一些客户 ) 采用的测试方法, 用以确定产品经过多少次

More information

The DatasheetArchive - Datasheet Search Engine

The DatasheetArchive - Datasheet Search Engine 750 khz 升 压 控 制 器 特 征 输 出 功 率 高 于 5W 输 出 电 压 从 3.3V 至 100V 以 上 750 khz 门 控 振 荡 器 开 关 频 率 对 电 池 供 电 或 宽 输 入 电 压 范 围 设 备, 占 空 比 可 调 输 入 电 压 范 围 :2.0V 至 5.5V 应 用 单 端 初 级 电 感 转 换 器 (SEPIC) 和 反 激 式 拓 扑 结 构

More information

01476A.book

01476A.book 结合 CLC 和 NCO 实现高分辨率 PWM AN1476 作者 : 简介 Cobus Van Eeden Microchip Technology Inc. 虽然许多应用可以使用分辨率低于 8 位的 PWM, 但是有一些应用 ( 例如灯具调光 ) 由于人眼灵敏度的原因需要较高分辨率 背景 传统的 PWM 使用定时器来生成规则的开关频率 (T PWM ), 然后使用纹波计数器来确定在脉冲结束之前,

More information

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide 跟踪接口工具包规范 跟踪接口工具包 简介 MPLAB REAL ICE 在线仿真器跟踪接口工具包 (AC2446) 可与具有跟踪连接器的 PIC32 接插模块 (PIM)( 表 1-1) 配合使用 具有跟踪连接器的 PIC32 PIM 支持 PIC32 指令跟踪 请参见仿真器文档了解关于 PIC32 指令跟踪的更多信息 表 1-1: PIC32 PIM 列表 * PIM 编号 PIM 名称 跟踪连接器

More information

800 mA Fixed-Output CMOS LDO with Shutdown

800 mA Fixed-Output CMOS LDO with Shutdown I 2 C 7/8-7 128 129-8 256 257 4 R AB - 5 kω - 1 kω - 5 kω - 1 kω 75Ω - 5 ppm -7 C - 15 ppm I 2 C - 1 khz - 4 khz - 3.4 MHz / TCON 1.5V 2.5 ua 12.5V SCL SDA - 2.7V 5.5V - 1.8V 5.5V -3 db 2 MHz 5. kω -4

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Rotork E120E IQ brochure

Rotork E120E IQ brochure Rotork IQ IQ IQ 3 7 IP68(3-8 )NEMA 9 6 11 IQ 12 1 16 18 Rotork Controls Ltd, Bath, UK 2 Rotork Controls Inc, Rochester, USA IQ IQ IQ PCIQ-Insight IQ IQ IQ IP67 IQ - EEx ia IIC T ( ) Insight IrDA TM 9 (RS232-IrDA

More information

51406a.book

51406a.book dspicdem 电机控制入门指南 1.0 概述 现在, 用户也许手头上拥有了一整套可用来开发自己 dspic 电机控制应用的设备装置, 但却为不知如何将其进行正确连接以使电机运行而烦恼 事实上, 有许多技术资料可帮助用户实现上述目标, 但用户可将本文档视作使用 dspic30f 运动控制开发硬件实现电机控制的入门指南 特别指出的是, 本文档将对以下内容进行介绍 : 如何设置电机控制硬件 连接电机和使电机运行

More information

文件名

文件名 MICROCHIP PIC10F200/202/204/206 6 8 FLASH PIC10F200 PIC10F202 PIC10F204 PIC10F206 RISC CPU 33 12 8 8 4MHz 1 s 4MHz 1% TM ICSP TM ICD POR DRT WDT RC MCLR I/O /CMOS < 350 A @ 2V 4 MHz 100 na @ 2V FLASH 10000

More information

00884a_cn.book

00884a_cn.book .E-0.E+00.E+0.E+0.E+03.E+04.E+05.E+06.E+07 使用运放驱动容性负载 AN884 作者 : 简介 运算放大器在驱动大的容性负载时, 若不采取正确地补偿, 则会产生尖峰和振荡问题 其他问题还包括 : 带宽减小, 输出压摆率降低和功耗增加 本应用笔记将解释为什么会发生这些问题, 如何改变运放电路来达到更佳性能, 以及如何快速计算电路的参数值 简化的运放模型 Kumen

More information

Rotork new IQ brochure

Rotork new IQ brochure Rotork IQ Rotork IQ 3 40 4 5 6 7 Rotork 8 10 12 14 16 18 20 Rotork Rotork Rotork Controls Ltd, Bath, UK 2 Rotork Controls Inc, Rochester, USA Rotork IQ Rotork IQ IQ Rotork IQ Rotork IQ IQ Rotork IQ IQ

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

21927a.book

21927a.book PS00 开关模式充电器评估板 特性 完整的 PS00 电池充电器应用电路 直接与 Microchip PowerInfo (PS0) 接口板和 PowerCal (PS0) 校准板连接 使用 PS00 PowerTool 00 PC 软件配置 PS00 使用在线串行编程 (In-Circuit Serial Programming, ICSP ) 更改或升级固件 充电器电路评估条件 : - 最大电压

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

目录 简介 概念 解决方案 / 实现 相关资源... 7 Microchip 网站... 8 变更通知客户服务... 8 客户支持... 8 Microchip 器件代码保护功能... 8 法律声明... 9 商标... 9 DNV 认证的质量管理体系

目录 简介 概念 解决方案 / 实现 相关资源... 7 Microchip 网站... 8 变更通知客户服务... 8 客户支持... 8 Microchip 器件代码保护功能... 8 法律声明... 9 商标... 9 DNV 认证的质量管理体系 什么是 ICM? 如何将 ICM 用于加密 简介 完整性检查监控器 (Integrity Check Monitor,ICM) 是一个 DMA 控制器, 可使用 Cortex M7 MCU 内存 (ICM 描述符区 ) 中的传输描述符在多个存储区上执行哈希计算 ICM 集成了用于哈希的安全哈希算法 (Secure Hash Algorithm,SHA) 引擎 基于 SHA 的哈希适合用于密码验证 质询哈希身份验证

More information

英飞凌风机控制方案介绍

英飞凌风机控制方案介绍 英飞凌风机控制方案介绍 英飞凌科技 ( 中国 ) 有限公司 工业和多元化市场 2011 马达控制及应用技术研讨会 Table of contents 英飞凌风机方案概述 BLDC 简易正弦波风机方案介绍 BLDC 无传感器 FOC 方案介绍 5/27/2011 Copyright Infineon Technologies 2009. All rights reserved. Page 2 Table

More information

1000w 1000w 风力发电机输出功率曲线图 风速 m/s 输出功率 P(w) 风速 m/s 输出功率 P(

1000w 1000w 风力发电机输出功率曲线图 风速 m/s 输出功率 P(w) 风速 m/s 输出功率 P( 1000w 1000w 风力发电机输出功率曲线图 风速 m/s 3 4 5 6 7 8 9 10 11 12 输出功率 P(w) 20 65 130 240 390 580 825 1100 1300 1380 风速 m/s 13 14 15 16 17 18 19 20 21 22 输出功率 P(w) 1380 1350 1310 1255 1185 1095 990 875 735 570 1000w

More information

SMSC LAN8700 Datasheet

SMSC LAN8700 Datasheet Microchip LAN9252 SDK 与 Beckhoff EtherCAT SSC 集成 AN1916 作者 : Kansal Mariam Banu Shaick Ibrahim Microchip Technology Inc. 简介 Microchip LAN9252 是一款集成双以太网 PHY 的 2/3 端口 EtherCAT 从控制器 (EtherCAT Slave Controller,ESC)

More information

LayoutPhyCS_cn.book

LayoutPhyCS_cn.book 电容触摸传感器布板和物理设计指南 AN1102 作者 : 介绍 本应用笔记用于说明 AN1101 电容触摸传感简介 中介绍的电容触摸解决方案的布板和物理设计 电容触摸系统的布板和物理设计是设计过程中尤为重要的部分 良好的布板可以使软件实现更为简单 取决于实际应用, 布板可能非常简单, 也可能很复杂, 但相同而简单的设计指南将适用于所有的布板 焊盘外形和尺寸 一般规则 当设计电容触摸按键时, 焊盘的形状并不很重要

More information

30177t.book

30177t.book Microchip 开 发 系 统 订 购 指 南 2005 年 6 月 2006 Microchip Technology Inc. DS30177T_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在

More information

RW Focus Asia Whitepaper CH1029

RW Focus Asia Whitepaper CH1029 F CUSASIA 1 Robert Walters Focus Asia Whitepaper Matthew Bennett Robert Walters 2 03 04 06 08 10 13 13 14 3 4 5 Robert Walters Focus Asia Whitepaper 6 7 Robert Walters Focus Asia Whitepaper 8 9 Robert

More information

DS21335.book

DS21335.book 具有关断和参考旁路功能的 50 ma 100 ma 和 150 ma CMOS LDO 特性 : 极低的供电电流 ( 典型值 50 µa) 超低压差 有 50 ma (TC1014) 100 ma (TC1015) 和 150 ma (TC1016) 输出可供选择 高输出电压精度 标准或定制输出电压 节能关断工作模式 可进行超低噪声运行的参考旁路输入方式 过电流和过热保护 节省空间的 5 引脚 SOT23A

More information

MASTERs 06 Slide Template

MASTERs 06 Slide Template 2009 Microchip Technology Incorporated. 版权所有 C10L08 BAT 第 1 页 C10L08 BAT 便携式产品中的电池管理策略 课程目标 完成课程后, 你将了解到 : 不同化学电池的基本原理和如何在便携式设计中进行应用 针对应用选择合理的电池管理 便携式电源的新趋势以及选择拓扑结构的关键因素 2009 Microchip Technology Incorporated.

More information

物理传感器布线设计 基本设计要素包括传感器的尺寸 传感器相对于接地层和 / 或其他低阻抗走线的位置, 以及 mtouch/righttouch 器件中的具体设置 在遵守几个简单准则的前提下, 可以对器件进行独特的设计, 来检测用户接近或附近金属物体和高介电常数物体的移动 要实现具有高信号强度和低噪声

物理传感器布线设计 基本设计要素包括传感器的尺寸 传感器相对于接地层和 / 或其他低阻抗走线的位置, 以及 mtouch/righttouch 器件中的具体设置 在遵守几个简单准则的前提下, 可以对器件进行独特的设计, 来检测用户接近或附近金属物体和高介电常数物体的移动 要实现具有高信号强度和低噪声 Microchip 电容式接近检测设计指南 AN1492 作者 : 简介 Xiang Gao Microchip Technology Inc. 接近检测为用户提供了一种无需物理接触即可与电子设备进行交互的新方法 该技术可提升产品美感, 改进用户体验并降低功耗 为实现接近检测, 人们已使用了许多方法 : 电磁 IR 光学 多普勒效应 电感和电容 每种方法均有各自的优势和局限性 电容传感方法的原理是检测传感器上由于用户触摸或接近而产生的电容变化

More information

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩 21 世 纪 全 国 高 校 应 用 人 才 培 养 旅 游 类 规 划 教 材 中 国 公 民 出 境 旅 游 目 的 地 国 家 ( 地 区 ) 概 况 方 海 川 主 编 付 景 保 副 主 编 内 容 简 介 中 国 公 民 出 境 旅 游 目 的 地 国 家 ( 地 区 ) 概 况 一 书 共 分 5 章, 第 1 章 主 要 介 绍 有 关 中 国 出 境 旅 游 市 场 的 发 展 历

More information

CorpbrocTaiwan.IND

CorpbrocTaiwan.IND 我們的專業技術 微控器與類比產品的領導供應商 Microchip Technology Inc. (NASDAQ: MCHP) 為微控器與類比產品的領導供應商, 對於全球數千種不同客戶的產 品應用提供低風險的產品開發 更低的系統成本與更快的產品上市速度 總部設於美國亞利桑那州 Chandler 市, Microchip 提供卓越的技術支援以及可靠的交貨時間與品質 成立於 1989 年,Microchip

More information

70640A.book

70640A.book AN1292 调整指南 1.1 设置软件参数 本文档介绍了使用 AN1292 利用 PLL 估算器和弱磁技术 (FW) 实现永磁同步电机 (PMSM) 的无传感器磁场定向控制 (FOC) (DS01292A_CN) 中所述的算法来运行电机的分步过程 文件中定义了所有的主要可配置参数 使用 tuning_params.xls Excel 电子表格 ( 见图 1-1) 将参数修改为内部数值格式 此文件包含在

More information

24FJ256GB210 PB rev A.book

24FJ256GB210 PB rev A.book 带有 USB OTG 的 16 位 64/100 引脚闪存单片机产品简介 通用串行总线特性 : 兼容 USB v2.0 On-The-Go (OTG) 双重功能 可作为主机或外设 在主机模式下 USB 可低速 (1.5 Mb/s) 和全速 (12 Mb/s) 运行 在设备模式下 USB 可全速运行 用于 USB 的高精度 PLL 最多支持 32 个端点 ( 双向 16 个 ): - 端点缓冲器可在首个

More information

untitled

untitled CP2215 捦幾 柢沗 昪律昢 020-101232-02 2015 Christie Digital Systems USA Inc. All rights reserved.,. FCC 15 Class A..,.. CAN ICES-3(A) / NMB-3(A) (A ),. Christie. Christie. Christie.. Christie ( ). Christie..

More information

SMK环境报告书2009

SMK环境报告书2009 Contents 1995SMK corporate citizen RoHSRoHSJ-MossREACH SMK CO2 298 28 1 2 3 4 4 5 6 7 9 1 28284129331 Gate City Office ASIA SMK High-Tech Taiwan Trading Co., Ltd. SMK Electronics (H.K.) Ltd. SMK Trading

More information

PIC32 Starter Kit User’s Guide

PIC32 Starter Kit User’s Guide PIC32 入门工具包用户指南 2010 Microchip Technology Inc. DS61159B_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意

More information

MPLAB ICE PROMATE II MPLAB MPLAB ICE 2 3 Microchip MPLAB ICE ICSP PROMATE II AC44 MPLAB ICE MICROCHIP 2 Socket Module 3 4 4 (Transition Socket) SOIC SSOP PQFP TQFP PRO MATEII PRO MATEII DV73 PC ICD ICD2

More information

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit 采用 XLP 技术的全功能低引脚数单片机产品简介 说明 : 单片机具有模拟 内核独立外设以及通信外设特性, 并结合了超低功耗 (extreme Low Power,XLP) 技术, 适用于一系列广泛的通用和低功耗应用 外设引脚选择 (Peripheral Pin Select, PPS) 功能可以在使用数字外设 ( 和通信 ) 时进行引脚映射, 以增加应用设计的灵活性 内核特性 : 优化的 C 编译器

More information

MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问

MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问 开发工具 Microchip开发工具快速指南 www.microchip.com/tools MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问 www.microchip.com/dts

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上 PIC 单片机的窗口看门狗定时器 TB3123 作者 : Ashutosh Tiwari Microchip Technology Inc. 简介 窗口看门狗定时器 (Windowed Watchdog Timer, WWDT) 是 PIC 单片机的增强型看门狗定时器 该定时器是 PIC 单片机现有看门狗定时器 (Watchdog Timer, WDT) 的扩展 Microchip 的 WDT 具有可配置的时间阈值上限和固定的时间阈值下限

More information

5V to 3V Newsletter

5V to 3V Newsletter Issue 1, December 2005 简介 Stephen Caldwell 家电解决方案组, 总监 欢迎阅读本期技术通讯, 这也是 Microchip Technology 应用工程师撰写的第一期 3V 技术通讯 该系列技术通讯包含令人兴奋的最新技术信息, 涵盖了从 5V 至 3V 移植过程中所面临的技术和逻辑电路设计方面的挑战 由于产品变得更为复杂,IC 厂商不得不使用更小体积的晶体管来满足功能需求并实现合理的成本

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

RW Salary Survey 2015 - China A4

RW Salary Survey 2015 - China A4 2015 中国 2014年 中国政府实施从纯制造业和基础设施行业向高科 技行业的转型 加强专业服务和消费者领域发展 以出口 刺激经济增长 由于政府的投资政策重新定位 我们看到越来越多的研发 中心在国内成立 更多国际专业服务公司进入中国市场 奢侈品及零售品牌进驻苏州 杭州 南京和成都等二线城 市 带动了当地市场的招聘需求 纵观总体经济 经济普遍增长 就业市场前景乐观 雇员 与雇主信心增强 2014年前三季度GDP增长率达7.4%

More information

EPSON Safety Instructions Manual

EPSON Safety Instructions Manual ... 2... 9... 11... 12 Epson... 14 1 2 3 4 5 ( ) A/V 50cm 6 7 + - 8 LCD LCD LCD 9 14 UL NEMKO CSA FIMKO BSI LCIE IMQ CEBEC SAA KEMA OVE PSE SEV EK SEMKO CCC DEMKO PSB VDE 10 CD-ROM http://www.epson.com

More information

Ps22Pdf

Ps22Pdf , :,,,,,,,,,,,,, ( CIP) /. :, 2004 7 ISBN 7-04 - 015186-3... - - - -. G245 CIP ( 2004) 062250 4 100011 010-82028899 010-64054588 800-810 - 0598 ttp: / / www hep edu cn http: / / www hep com cn 787 1092

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG ( 900 Cleveland Motion Controls HORNER APG % 2

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG (  900 Cleveland Motion Controls HORNER APG % 2 http://www.lgis.com.cn 2003.09.15 LG Tel: 010-64623254 Fax: 010-64623236 Tel: 021-62784371 Fax: 021-62784301 Tel: 020-87553412 Fax: 020-87553408 Homepage: Http://www.lgis.com.cn LG MASTER-K120s 2003 04

More information

A2 LOCAL 201486 350 1.3 1.7 10 10 04 7 6 10 6 23 1 25 3 1,400 160 10% 5Wi-Fi 97 8 5 5 Wi-Fi 30 Wi-Fi Wi-Fi. HK 5 Wi-Fi Wifi 8 5 Wi-Fi.HK Wi-Fi.HK 30 W

A2 LOCAL 201486 350 1.3 1.7 10 10 04 7 6 10 6 23 1 25 3 1,400 160 10% 5Wi-Fi 97 8 5 5 Wi-Fi 30 Wi-Fi Wi-Fi. HK 5 Wi-Fi Wifi 8 5 Wi-Fi.HK Wi-Fi.HK 30 W 2014 08 06 1 No. % Hong Kong Edition EPOCHHK.COM EPOCHTIMES.COM 35 21 5 173,326,770 852-21569992 2002.11-2012.11 2002.11-2012.11 2002.11-2012.11 2002.11-2007.10 2002.11-2007.10 7 29 7 29 1999 15 4 9 4

More information

untitled

untitled 3 4 Watson Farley & Williams 5 6 Watson Farley & Williams 7 9 10 Watson Farley & Williams 11 ATHENS BANGKOK DUBAI FRANKFURT HAMBURG HONG KONG LONDON MADRID MILAN MUNICH NEW YORK PARIS ROME SINGAPORE wfw.com

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

导成word文件

导成word文件 黄 金 行 业 月 度 分 析 报 告 2016 年 9 月 5 日 发 布 Beijing Unbank Technology Co.,Ltd. www.unbank.info [ 全 国 ] http://www.unbank.info 1 服 务 电 话 :(010)63368810 目 录 第 一 章 宏 观 经 济... 4 一 本 月 宏 观 经 济 运 行... 4 ( 一 ) 中 国

More information

#00chp_ii-viiiCS.p65

#00chp_ii-viiiCS.p65 The contents of this guide are printed on 70% recycled paper. CANON INC. 30-2, Shimomaruko 3-chome, Ohta-ku, Tokyo 146-8501, Japan CANON U.S.A., INC. One Canon Plaza, Lake Success, NY 11042, U.S.A. CANON

More information

Power & Flexibility MEIDEN MOTOR DRIVE SYSTEM MEIDEN MOTOR DRIVE SYSTEM Meiden Europe Ltd. Meiden America, Inc. Meiden Technical Center North America LLC Dubai Branch Meiden India Pvt. Ltd. Meiden

More information

_LIT MAN USR LX380-L-CHI

_LIT MAN USR LX380-L-CHI 103-009100-01 103-017101-01* * 2 Rev. 1 4 0.7' (20 cm) 1.5' (50 cm) 3' (1 m) 1.5' (50 cm) 10 5 6 7 10 10 10 10 10 10 8 9 10 11 12 13 14 15 II 1 2 3 16 1 2 17 1 2 3 18 1 2 1 2 3 19 32.8' (10.0m) 22.0' (6.7m)

More information

全医通会员手册

全医通会员手册 全 球 会 诊 服 务 ( 原 国 际 二 次 诊 疗 ) 客 户 服 务 手 册 目 录 致 全 球 会 诊 客 户 的 一 封 信... 2 服 务 说 明... 3 服 务 对 象... 3 服 务 时 间... 3 服 务 热 线... 4 服 务 等 待 期... 4 服 务 流 程... 4 被 保 险 人 权 益... 6 被 保 险 人 义 务... 6 被 保 险 人 隐 私 保

More information

全.pdf

全.pdf 1 2 3 4 5 6 7 /// 8 9 2 Your China Logistics Specialist in Taiwan May, 2010 10 Dimerco Global Organization Board of Directors CEO 11 CRP Office Corporate Marketing Corporate MIS Corporate Finance & Accounting

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

Microsoft Word - 43屆2011年國際化奧總報告 revised by FTS.doc

Microsoft Word - 43屆2011年國際化奧總報告 revised by FTS.doc 台 灣 ( 中 華 台 北 ) 4 名 高 中 學 生 贏 得 2011 年 土 耳 其 43 屆 國 際 化 奧 自 由 與 民 主 超 級 生 命 雙 螺 旋 (A-T)(C-G) 配 對 的 美 譽 國 際 化 學 奧 林 匹 亞 競 賽 計 畫 主 持 人 兼 代 表 團 團 長 摘 要 第 43 屆 2011 年 國 際 化 學 奧 林 匹 亞 競 賽 七 月 17 日 下 午 3 時 於

More information

2004年中国机场行业投资分析报告

2004年中国机场行业投资分析报告 2004 15% 500 7-8 70 10 1 40% 60% 2002 / 1 2004...1...1...2...3...3...3...4...5...6...6...7...8...10...12...13...15...17...18...20...21...23...24...25...25...26 1 2004...27...28...31...33...35...36...39...40...40...41...43...45...46...47...49...50...52...53...55...56...57...57...58...60...61...62

More information

力矩电机 - 高速 (TORQUE MOTOR-HIGH SPEED) 选型目录 基本选型... 2 型号说明... 3 型号 :GTMH 型号 :GTMH 型号 :GTMH 型号 :GTMH

力矩电机 - 高速 (TORQUE MOTOR-HIGH SPEED) 选型目录 基本选型... 2 型号说明... 3 型号 :GTMH 型号 :GTMH 型号 :GTMH 型号 :GTMH 选型目录 基本选型... 2 型号说明... 3 型号 :GTMH 0175-050... 4 型号 :GTMH 0175-070... 7 型号 :GTMH 0175-100... 10 型号 :GTMH 0175-150... 13 型号 :GTMH 0291-050... 18 型号 :GTMH 0291-070... 21 型号 :GTMH 0291-100... 24 型号 :GTMH 0291-150...

More information

00799b_cn.book

00799b_cn.book MOSFET 驱动器与 MOSFET 的匹配设计 AN799 作者 : 简介 当今多种 MOSFET 技术和硅片制程并存, 而且技术进步日新月异 要根据 MOSFET 的电压 / 电流或管芯尺寸, 对如何将 MOSFET 驱动器与 MOSFET 进行匹配进行一般说明, 实际上显得颇为困难, 甚至不可能 与任何设计决策一样, 在为您设计中的 MOSFET 选择合适的 MOSFET 驱动器时, 需要考虑几个变量

More information