00905A.book

Size: px
Start display at page:

Download "00905A.book"

Transcription

1 有刷直流电机基础 AN905 作者 : 简介 有刷直流电机被广泛用于从玩具到按钮调节式汽车坐椅的应用中 有刷直流 (Brushed DC, BDC) 电机价格便宜 易于驱动并且易于制造成各种尺寸和形状 本应用笔记将讨论 BDC 电机的工作原理 驱动 BDC 电机的方法以及将驱动电路与 PIC 单片机接口的方法 工作原理 Reston Condit Microchip Technology Inc. 图 1 给出了一个简单 BDC 电机的结构 所有 BDC 电机的基本组件都是一样的 : 定子 电刷和换向器 后面的章节将更详细地介绍每个组件 定子 定子会在转子周围产生固定的磁场 这一磁场可由永磁体或电磁绕组产生 BDC 电机的类型由定子的结构或电磁绕组连接到电源的方式划分 ( 欲知 BDC 电机的不同类型请参见步进电机的类型 ) 转子 转子 ( 也称为电枢 ) 由一个或多个绕组构成 当这些绕组受到激励时, 会产生一个磁场 转子磁场的磁极将与定子磁场的相反磁极相吸引, 从而使定子旋转 在电机旋转过程中, 会按不同的顺序持续激励绕组, 因此转子产生的磁极绝不会与定子产生的磁极重叠 转子绕组中磁场的这种转换被称为换向 图 1: 简单的双磁极有刷直流电机 N 北磁体 电刷 南磁体 转轴 换向器 电枢 场磁体或线圈 2008 Microchip Technology Inc. DS00905A_CN 第 1 页

2 电刷和换向器 与其他电机类型 ( 即, 无刷直流电机和交流感应电机 ) 不同, BDC 电机不需要控制器来切换电极绕组中电流的方向, 而是通过机械的方式完成 BDC 电机绕组的换向 在 BDC 电机的转轴上安装有一个分片式铜套, 称为换向器 随着电机的旋转, 碳刷会沿着换向器滑动, 与换向器的不同分片接触 这些分片与不同的转子绕组连接, 因此, 当通过电机的电刷上电时, 就会在电机内部产生动态的磁场 注意电刷和换向器由于两者之间存在相对滑动, 因而是 BDC 电机中最容易损耗的部分, 这一点很重要 步进电机的类型 如前所述, BDC 电机的各种类型用定子中固定磁场的产生方式来区别 本节将讨论 BDC 电机的不同类型, 以及每种类型的优缺点 永磁体 永磁体有刷直流 (Permanent Magnet Brushed DC, PMDC) 电机是世界上最常见的 BDC 电机 这类电机使用永磁体产生定子磁场 PMDC 电机通常用在包括分马力电动机在内的应用中, 这是因为永磁体比绕组定子具有更高的成本效益 PMDC 电机的缺点是永磁体的磁性会随着时间的推移逐渐衰退 某些 PMDC 电机的永磁体上还绕有绕组, 以防止磁性丢失的情况发生 PMDC 电机的性能曲线 ( 电压与速度关系曲线 ) 的线性非常好 电流与转矩成线性关系 由于定子磁场是恒定的, 所以这类电机对电压变化的响应非常快 图 2: 直流供电电压 永磁体直流电机 电枢 永磁体磁极 电刷 并激 并激有刷直流 (Shunt-wound Brushed DC,SHWDC) 电机的励磁线圈与电枢并联 励磁线圈中的电流与电枢中的电流相互独立 因此, 这类电机具有卓越的速度控制能力 SHWDC 电机通常用在需要五个或五个以上马力的应用中 在 SHWDC 电机中, 不会出现磁性丢失的问题, 因此它们通常比 PMDC 电机更加可靠 图 3: 串激 并激直流电机 串激有刷直流 (Series-wound Brushed DC, SWDC) 电机的励磁线圈与电枢串联 由于定子和电枢中的电流均随负载的增加而增加, 因此这类电机是大转矩应用的理想之选 SWDC 电机的缺点是它不能像 PMDC 和 SHWDC 电机那样对速度进行精确控制 图 4: 直流供电电压 直流供电电压 电枢 串激直流电机 串激磁场 并激磁场 电枢 电刷 电刷 DS00905A_CN 第 2 页 2008 Microchip Technology Inc.

3 复激 复激 (Compound Wound, CWDC) 电机是并激和串激电机的结合体 如图 5 所示,CWDC 电机可产生串激和并激两种磁场 CWDC 电机综合了 SWDC 和 SHWDC 电机的性能, 它具有比 SHWDC 电机更大的转矩, 又能提供比 SWDC 电机更佳的速度控制 图 5: 复激直流电机 注意, 在每个电路中, 电机的两端都跨接有一个二极管, 目的是防止反电磁通量 (Back Electromagnetic Flux,BEMF) 电压损坏 MOSFET BEMF 是在电机转动过程中产生的 当 MOSFET 关断时, 电机的绕组仍然处于通电状态, 会产生反向电流 D1 必须具有合适的额定值, 以能够消耗这一电流 图 6: 低端 BDC 电机驱动电路 直流供电电压 并激磁场 串激磁场 电刷 电枢 基本驱动电路 驱动电路用在使用了某类控制器并且要求速度控制的应用中 驱动电路的目的是为控制器提供改变 BDC 电机中绕组电流的方法 本节中讨论的驱动电路允许控制器对 BDC 电机的供电电压进行脉宽调制 就功耗来说, 这样的速度控制方法在改变 BDC 电机的速度方面比起传统的模拟控制方法效率要高很多 传统的模拟控制要求与电机绕组串联一个额外的变阻器, 这样会降低效率 驱动 BDC 电机的方法多种多样 有些应用场合仅要求电机往一个方向运转 图 6 和图 7 给出了向一个方向驱动 BDC 电机的电路 前者采用低端驱动, 后者采用高端驱动 使用低端驱动的优点是可以不必使用 FET 驱动器 FET 驱动器的用途是 : 1. 将驱动 MOSFET 的 TTL 信号转换为供电电压的电平 (1) 2. 提供足以驱动 MOSFET 的电流 3. 提供半桥应用中的电平转换 注 1: 对于绝大多数 PIC 单片机应用, 第二点通常不适用, 这是因为 PIC 单片机的 I/O 引脚可提供 20 ma 的拉电流 图 7: 高端 BDC 电机驱动电路 图 6 和图 7 中的电阻 R1 和 R2 对于每个电路的工作很重要 R1 用于保护单片机免遭电流突增的破坏,R2 用于确保在输入引脚处于三态时,Q1 关断 2008 Microchip Technology Inc. DS00905A_CN 第 3 页

4 BDC 电机的双向控制需要一个称为 H 桥的电路 H 桥的得名缘于其原理图的外观, 它能够使电机绕组中的电流沿两个方向运动 要理解这一点,H 桥必须被分为两个部分, 或两个半桥 如图 8 所示,Q1 和 Q2 构成一个半桥, 而 Q3 和 Q4 构成另一个半桥 每个半桥都能够控制 BDC 电机一端的导通与关断, 使其电势为供应电压或地电位 例如, 当 Q1 导通,Q2 关断时, 电机的左端将处于供电电压的电势 导通 Q4, 保持 Q3 关断将使电机的相反端接地 标注有箭头的 IFWD 显示了该配置下电流的流向 注意, 每个 MOSFET 的两端都跨接有一个二极管 (D1- D4) 这些二极管保护 MOSFET 免遭 MOSFET 关断时由 BEMF 产生的电流尖峰的破坏 只有在 MOSFET 内部的二极管不足以消耗 BEMF 电流时, 才需要这些二极管 电容 (C1-C4) 是可选的 这些电容的值通常不大于 10 pf, 它们用于减少由于换向器起拱产生的 RF 辐射 图 8: 双向 BDC 电机驱动 (H 桥 ) 电路 VSUPPLY CTRL1 Q1 D1 C1 C3 D3 Q3 CTRL3 R1 IFWD Motor R3 BDC IRVS IBRK CTRL2 Q2 D2 C2 C4 D4 Q4 CTRL4 R2 R4 表 1 给出了 H 桥电路的不同驱动模式 在前向和后向模式中, 桥的一端处于地电势, 另一端处于 VSUPPLY 在图 8 中,IFWD 和 IRVS 箭头分别描绘了前向和后向运行模式的电路路径 在惯性滑行 (Coast) 模式中, 电机绕组的接线端保持悬空, 电机靠惯性滑行直至停转 刹车 (Brake) 模式用于快速停止 BDC 电机 在刹车模式下, 电机的接线端接地 当电机旋转时, 它充当一个发电机 将电机的引线短路相当于电机带有无穷大负载, 可使电机快速停转 IBRK 箭头描绘了这一点 表 1: H 桥的工作模式 设计 H 桥电路时, 必须要考虑到一个非常重要的事项 当电路的输入不可预测 ( 比如单片机启动过程中 ) 时, 必须将所有的 MOSFET 偏置到关断状态 这将确保 H 桥每个半桥上的 MOSFET 绝不会同时导通 同时导通同一个半桥上的 MOSFET 将导致电源短路, 最终导致损坏 MOSFET, 致使电路无法工作 每个 MOSFET 驱动器输入端上的下拉电阻将实现该功能 ( 配置图请见图 8) Q1 (CTRL1) Q2 (CTRL2) Q3 (CTRL3) Q4 (CTRL4) 前向 通 断 断 通 后向 断 通 通 断 惯性滑行 断 断 断 断 刹车 断 通 断 通 DS00905A_CN 第 4 页 2008 Microchip Technology Inc.

5 速度控制 BDC 电机的速度与施加给电机的电压成正比 当使用数控技术时, 脉宽调制 (PWM) 信号被用来产生平均电压 电机的绕组充当一个低通滤波器, 因此具有足够频率的 PWM 信号将会在电机绕组中产生一个稳定的电流 平均电压 供电电压和占空比的关系由以下公式给出 : 公式 1: 速度和占空比之间成正比关系 例如, 如果额定 BDC 电机在 12V 时以转速 RPM 旋转, 则当给电机施加占空比为 50% 的信号时, 则电机将 ( 理想情况下 ) 以 7500 RPM 的转速旋转 PWM 信号的频率是考虑的重点 频率太低会导致电机转速过低, 噪音较大, 并且对占空比变化的响应过慢 频率太高, 则会因开关设备的开关损耗而降低系统的效率 经验之谈是在 4 khz 至 20 khz 范围内, 调制输入信号的频率 这个范围足够高, 电机的噪音能够得到衰减, 并且此时 MOSFET ( 或 BJT) 中的开关损耗也可以忽略 一般来说, 针对给定的电机用实验的办法找到满意的 PWM 频率是一个好办法 如何使用 PIC 单片机来产生控制 BDC 电机速度的 PWM 信号? 一个方法是通过编写专门的汇编或 C 代码来交替翻转输出引脚的电平 (1) 另一个方法是选择带有硬件 PWM 模块的 PIC 单片机 Microchip 提供的具有该功能的模块为 CCP 和 ECCP 模块 许多 PIC 单片机都具有 CCP 和 ECCP 模块 请参见产品选型指南了解具有这些功能模块的器件 注 VAVERAGE = D VSUPPLY 1:Microchip 的应用笔记 AN847 给出了使用固件对 I/O 引脚进行脉宽调制的汇编代码例程 CCP 模块 ( 捕捉比较和 PWM (Capture Compare 和 PWM) 的英文缩写 ) 能够在一个 I/O 引脚上输出分辨率为 10 位的 PWM 信号 10 位分辨率意味着模块可以在 0% 至 100% 的范围内实现 2 10 ( 即 1024) 个可能的占空比值 使用该模块的优点是它能在 I/O 引脚上自主产生 PWM 信号, 这样解放了处理器, 使之有时间完成其他任务 CCP 模块仅要求开发者对模块的参数进行配置 配置模块包括设置频率和占空比寄存器 ECCP 模块 ( 增强型捕捉比较和 PWM (Enhanced Capture Compare 和 PWM) 的英文缩写 ) 不仅能提供 CCP 模块的所有功能, 还可以驱动全桥或半桥电路 ECCP 模块还具有自动关断功能和可编程死区延时 注 : 反馈机制 虽然 BDC 电机的速度一般与占空比成正比, 但不存在完全理想的电机 发热 换向器磨损以及负载均会影响电机的速度 在需要精确控制速度的系统中引入某种反馈机智是个好注意 速度控制可以两种方式实现 第一种方式是使用某种类型的速度传感器 第二种方式是使用电机产生的 BEMF 电压 传感器反馈 有多种传感器可用于速度反馈 最常见的是光学编码器和霍尔效应传感器 光学编码器由多个组件组成 在电机非驱动端的轴上安装一个槽轮 一个红外 LED 在轮的一侧提供光源, 一个光电晶体管在轮的另一侧对光线进行检测 ( 见图 9) 通过轮中槽隙的光线会使光电晶体管导通 转轴转动时, 光电晶体管会随着光线通过轮槽与否导通和关断 晶体管通断的频率表征电机的速度 在电机发生移位的应用中, 还将使用光学编码器来反馈电机位置 图 9: Microchip 的应用笔记 AN893 给出了配置 ECCP 模块来驱动 BDC 电机的详细说明 该应用笔记中还包含有固件和驱动电路示例 正面视图 光学编码器 槽轮 IR LED 侧面视图 光电晶体管 2008 Microchip Technology Inc. DS00905A_CN 第 5 页

6 霍尔效应传感器也被用来提供速度反馈 与光学编码器类似, 霍尔效应传感器需要电机上连有一个旋转元件, 并且还需要一个静止元件 旋转元件是一个外缘安装有一个或多个磁体的转轮 静止的传感器检测经过的磁体, 并产生 TTL 脉冲 图 10 显示了霍尔效应传感器的基本组成部分 图 10: 磁体 霍尔效应传感器 轮 磁体 反电磁通量 (BEMF) 提供 BDC 电机的快速反馈的另一种形式是 BEMF 电压测量 BEMF 电压和速度成正比 图 11 显示了在双向驱动电路中测量 BEMF 电压的位置 一个分压器用于使 BEMF 电压下降到 0-5V 范围内, 这样才能被模数转换器读取 BEMF 电压是在 PWM 脉冲之间, 当电机的一端悬空而另一端接地时测量的 在这种情况下, 电机充当发电机, 并且产生与速度成正比的 BEMF 电压 霍尔效应传感器 正面视图 侧面视图 图 11: 反 EMF 电压测量 VSUPPLY CTRL1 Q1 C1 C3 Q3 CTRL3 R1 Motor R3 BEMF BDC BEMF CTRL2 Q2 C2 C4 Q4 CTRL4 R2 R4 DS00905A_CN 第 6 页 2008 Microchip Technology Inc.

7 由于效率和材料不同, 所有 BDC 电机的行为会略有不同 实验是确定给定电机速度下 BEMF 电压的最好方法 电机转轴上的反射带有助于数字转速计测量电机的转速 ( 单位为 RPM) 在读取数字转速计时测量 BEMF 电压将获取电机速度和 BEMF 电压的关系 注 : Microchip 的应用笔记 AN893 提供了使用 PIC16F684 读取 BEMF 电压的固件和电路示例 结论 有刷直流电机的使用和控制都非常简便, 因此它的设计周期较短 PIC 单片机, 特别是具有 CCP 或 ECCP 模块的单片机是驱动 BDC 电机的理想之选 参考资料 AN893 Low-Cost Bidirectional Brushed DC Motor Control Using the PIC16F684 AN847 RC Model Aircraft Motor Control index.html 2008 Microchip Technology Inc. DS00905A_CN 第 7 页

8 注 : DS00905A_CN 第 8 页 2008 Microchip Technology Inc.

9 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意 甚至是非法破坏代码保护功能的行为 就我们所知, 所有这些行为都不是以 Microchip 数据手册中规定的操作规范来使用 Microchip 产品的 这样做的人极可能侵犯了知识产权 Microchip 愿与那些注重代码完整性的客户合作 Microchip 或任何其他半导体厂商均无法保证其代码的安全性 代码保护并不意味着我们保证产品是 牢不可破 的 代码保护功能处于持续发展中 Microchip 承诺将不断改进产品的代码保护功能 任何试图破坏 Microchip 代码保护功能的行为均可视为违反了 数字器件千年版权法案 (Digital Millennium Copyright Act) 如果这种行为导致他人在未经授权的情况下, 能访问您的软件或其他受版权保护的成果, 您有权依据该法案提起诉讼, 从而制止这种行为 提供本文档的中文版本仅为了便于理解 请勿忽视文档中包含的英文部分, 因为其中提供了有关 Microchip 产品性能和使用情况的有用信息 Microchip Technology Inc. 及其分公司和相关公司 各级主管与员工及事务代理机构对译文中可能存在的任何差错不承担任何责任 建议参考 Microchip Technology Inc. 的英文原版文档 本出版物中所述的器件应用信息及其他类似内容仅为您提供便利, 它们可能由更新之信息所替代 确保应用符合技术规范, 是您自身应负的责任 Microchip 对这些信息不作任何明示或暗示 书面或口头 法定或其他形式的声明或担保, 包括但不限于针对其使用情况 质量 性能 适销性或特定用途的适用性的声明或担保 Microchip 对因这些信息及使用这些信息而引起的后果不承担任何责任 如果将 Microchip 器件用于生命维持和 / 或生命安全应用, 一切风险由买方自负 买方同意在由此引发任何一切伤害 索赔 诉讼或费用时, 会维护和保障 Microchip 免于承担法律责任, 并加以赔偿 在 Microchip 知识产权保护下, 不得暗中或以其他方式转让任何许可证 商标 Microchip 的名称和徽标组合 Microchip 徽标 Accuron dspic KEELOQ KEELOQ 徽标 MPLAB PIC PICmicro PICSTART PRO MATE rfpic 和 SmartShunt 均为 Microchip Technology Inc. 在美国和其他国家或地区的注册商标 FilterLab Linear Active Thermistor MXDEV MXLAB SEEVAL SmartSensor 和 The Embedded Control Solutions Company 均为 Microchip Technology Inc. 在美国的注册商标 Analog-for-the-Digital Age Application Maestro CodeGuard dspicdem dspicdem.net dspicworks dsspeak ECAN ECONOMONITOR FanSense In-Circuit Serial Programming ICSP ICEPIC Mindi MiWi MPASM MPLAB Certified 徽标 MPLIB MPLINK mtouch PICkit PICDEM PICDEM.net PICtail PIC 32 徽标 PowerCal PowerInfo PowerMate PowerTool REAL ICE rflab Select Mode Total Endurance UNI/O WiperLock 和 ZENA 均为 Microchip Technology Inc. 在美国和其他国家或地区的商标 SQTP 是 Microchip Technology Inc. 在美国的服务标记 在此提及的所有其他商标均为各持有公司所有 2008, Microchip Technology Inc. 版权所有 Microchip 位于美国亚利桑那州 Chandler 和 Tempe 与位于俄勒冈州 Gresham 的全球总部 设计和晶圆生产厂及位于美国加利福尼亚州和印度的设计中心均通过了 ISO/TS-16949:2002 认证 公司在 PIC MCU 与 dspic DSC KEELOQ 跳码器件 串行 EEPROM 单片机外设 非易失性存储器和模拟产品方面的质量体系流程均符合 ISO/TS :2002 此外, Microchip 在开发系统的设计和生产方面的质量体系也已通过了 ISO 9001:2000 认证 2008 Microchip Technology Inc. DS00905A_CN 第 9 页

10 全球销售及服务网点 美洲 亚太地区 亚太地区 欧洲 公司总部 Corporate Office 2355 West Chandler Blvd. Chandler, AZ Tel: Fax: 技术支持 : 网址 : 亚特兰大 Atlanta Duluth, GA Tel: Fax: 波士顿 Boston Westborough, MA Tel: Fax: 芝加哥 Chicago Itasca, IL Tel: Fax: 达拉斯 Dallas Addison, TX Tel: Fax: 底特律 Detroit Farmington Hills, MI Tel: Fax: 科科莫 Kokomo Kokomo, IN Tel: Fax: 洛杉矶 Los Angeles Mission Viejo, CA Tel: Fax: 圣克拉拉 Santa Clara Santa Clara, CA Tel: Fax: 加拿大多伦多 Toronto Mississauga, Ontario, Canada Tel: Fax: 亚太总部 Asia Pacific Office Suites , 37th Floor Tower 6, The Gateway Harbour City, Kowloon Hong Kong Tel: Fax: 中国 - 北京 Tel: Fax: 中国 - 成都 Tel: Fax: 中国 - 香港特别行政区 Tel: Fax: 中国 - 南京 Tel: Fax: 中国 - 青岛 Tel: Fax: 中国 - 上海 Tel: Fax: 中国 - 沈阳 Tel: Fax: 中国 - 深圳 Tel: Fax: 中国 - 武汉 Tel: Fax: 中国 - 厦门 Tel: Fax: 中国 - 西安 Tel: Fax: 中国 - 珠海 Tel: Fax: 台湾地区 - 高雄 Tel: Fax: 澳大利亚 Australia - Sydney Tel: Fax: 印度 India - Bangalore Tel: Fax: 印度 India - New Delhi Tel: Fax: 印度 India - Pune Tel: Fax: 日本 Japan - Yokohama Tel: Fax: 韩国 Korea - Daegu Tel: Fax: 韩国 Korea - Seoul Tel: Fax: 或 马来西亚 Malaysia - Kuala Lumpur Tel: Fax: 马来西亚 Malaysia - Penang Tel: Fax: 菲律宾 Philippines - Manila Tel: Fax: 新加坡 Singapore Tel: Fax: 泰国 Thailand - Bangkok Tel: Fax: 奥地利 Austria - Wels Tel: Fax: 丹麦 Denmark-Copenhagen Tel: Fax: 法国 France - Paris Tel: Fax: 德国 Germany - Munich Tel: Fax: 意大利 Italy - Milan Tel: Fax: 荷兰 Netherlands - Drunen Tel: Fax: 西班牙 Spain - Madrid Tel: Fax: 英国 UK - Wokingham Tel: Fax: 台湾地区 - 台北 Tel: Fax: 台湾地区 - 新竹 Tel: Fax: /02/08 DS00905A_CN 第 10 页 2008 Microchip Technology Inc.

untitled

untitled C 8051 MCU SPI EEPROM Alexandru Valeanu Microchip Technology Inc. Microchip Technology 25XXX EEPROM SPI 25XXX EEPROM SO 25XXX EEPROM 3MHz 20 MHz SPI HOLD 25XXX EEPROM EEPROM MCU HOLD 25XXX EEPROM SPI EEPROM

More information

00872a.book

00872a.book 从 MCP2510 升 级 至 MCP2515 作 者 : 介 绍 Pat Richards Microchip Technology Inc. 开 发 MCP2510 独 立 CAN 控 制 器 的 初 衷 是 赋 予 CAN 系 统 和 模 块 设 计 人 员 更 多 的 灵 活 性, 允 许 他 们 为 自 己 的 应 用 选 择 最 好 的 处 理 器 使 用 MCP2510 不 会 使 设

More information

untitled

untitled N1113 8051MU I 2 EEPROM lexandru Valeanu Microchip Technology Inc. Microchip Technology 24XXX EEPROM 2 SL 24XXX EEPROM 24XXX EEPROM I 2 100 khz 1MHz 24XXX EEPROM 2 I 2 EEPROM 128 512 b 100 khz 1MHz 1.7V

More information

01262B.book

01262B.book 使用 C30 编译器实现单片机与片外串行 SRAM 的通信 作者 : 引言 Martin Bowman Microchip Technology Inc. 适用于 Microchip 的 dspic DSC 和 PIC24 系列单片机的 C30 编译器为寻址外部存储器提供了一个解决方案 该编译器支持这样的功能, 即允许用户按照访问 MCU 的片内存储器的方式来访问外部存储器 本应用笔记主要介绍如何使用

More information

61127c_cn.book

61127c_cn.book 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 列 主 题 : 1.1 简 介... 1-2 1.2 本 手 册 的 目 标... 1-2 1.3 器 件 结 构... 1-2 1.4 开 发 支 持... 1-4 1.5 样 式 和 符 号 约 定... 1-4 1.6 相 关 文 档... 1-6 1.7 版 本 历 史... 1-7 2010 Microchip Technology

More information

41632B.book

41632B.book 互 补 波 形 发 生 器 (CWG) 可 配 置 逻 辑 单 元 (CLC) 和 数 控 振 荡 器 (NCO) 外 设 技 巧 与 诀 窍 简 介 Microchip 致 力 于 不 断 向 客 户 提 供 体 积 更 小 速 度 更 快 使 用 更 简 便 与 运 行 更 可 靠 的 创 新 产 品 我 们 的 闪 存 PIC MCU 已 广 泛 应 用 于 日 常 生 活 中 从 烟 雾 探

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

CTMU Temperature Measurement AN.book

CTMU Temperature Measurement AN.book 利用 PIC MCU 中的 CTMU 测量温度 TB3016 作者 : 最新一代 PIC24F 和 PIC18F 器件包含的充电时间测量单元 (Charge Time Measurement Unit,CTMU) 使用恒流源来计算电容值的变化以及事件的间隔时间 运用半导体物理学的基本原理, 同样的电流源也可用来测量温度 这允许使用普通而廉价的二极管来取代相对昂贵的热敏电阻和温度传感器 本技术简介描述了使用

More information

21999a_cn.book

21999a_cn.book 1.5A 低 电 压 低 静 态 电 流 LDO 稳 压 器 MCP1727 特 性 输 出 电 流 能 力 为 1.5A 输 入 工 作 电 压 范 围 :2.3V 至 6.0V 可 调 输 出 电 压 范 围 :0.8V 至 5.0V 标 准 固 定 输 入 电 压 : - 0.8V 1.2V 1.8V 2.5V 3.0V 3.3V 和 5.0V 可 根 据 需 要 提 供 其 他 固 定 输

More information

61146A.book

61146A.book PIC32 2008 Microchip Technology Inc. DS61146A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip

More information

MCP47x6_DaughterBoard.book

MCP47x6_DaughterBoard.book MCP47X6 PICtail Plus 子 板 用 户 指 南 DS51932B_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系 列 产 品

More information

21928a.book

21928a.book PS080, PowerTool 800 开发软件用户指南 2005 Microchip Technology Inc. DS21928A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information

21498c_cn.book

21498c_cn.book 精密温度 - 电压转换器 特性 工作电压范围 : - TC147:2.7V 至 4.4V - TC147A:2.5V 至 5.5V 宽温度测量范围 : - -4 C 至 +125 C 温度转换精度高 : - 在 25 C 时为 ±2 C ( 最大值 ) 线性温度斜率 :1 mv/ C ( 典型值 ) 提供 3 引脚 SOT-23B 封装 低工作电流 : - 35 µa 典型值 应用 移动电话 电源过热关断控制

More information

untitled

untitled MCP3421 DS51683A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology Inc. Microchip

More information

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP 在 v2013-06-15 MLA USB 设备和主机协议栈中更新 PIC32MX 支持 TB3109 简介 我们提供适合 PIC32MX MCU 的 Microchip 应用程序库 (Microchip Libraries for Applications,MLA), 但未计划向 MLA 添加新功能 v2013-06-15 MLA USB 协议栈本质上支持所有适用的 PIC32MX MCU 器件

More information

PIC18F65J90/85J90 Product Brief

PIC18F65J90/85J90 Product Brief 采用纳瓦技术内置 LCD 驱动器的 64/80 引脚高性能单片机 单片机的特殊性能 : 闪存程序存储器可承受 1000 次擦写 ( 典型值 ) 闪存数据保存时间为 20 年 ( 典型值 ) 软件控制下可自编程 中断具有优先级 8 x 8 单周期硬件乘法器 扩展的看门狗定时器 (Watchdog Timer, WDT): - 周期从 4 ms 到 131s, 可编程设定 通过两个引脚可进行在线串行编程

More information

21666b.book

21666b.book 具 有 关 断 功 能 的 80 ma 小 型 CMOS LDO TC1016 特 性 节 省 空 间 的 5 引 脚 SC-70 和 SOT-23 封 装 极 低 的 工 作 电 流, 利 于 延 长 电 池 寿 命 : 典 型 值 53 µa 很 低 的 电 压 差 (Dropout Voltage) 额 定 输 出 电 流 :80 ma 仅 需 一 个 1µF 的 陶 瓷 输 出 电 容 高

More information

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO 使用 Microchip 的 dspic 数字信号控制器 (DSC) 和模拟器件实现脉冲血氧仪设计 作者 : Zhang Feng Microchip Technology Inc. 简介 脉冲血氧仪是一种用于监测患者血氧饱和度和心率的非侵入式医疗设备 本应用笔记演示了如何使用 Microchip 的 dspic 数字信号控制器 (Digital Signal Controller, DSC) 和模拟器件来实现高精度脉冲血氧仪

More information

00686A.Book

00686A.Book 理解和使用监控电路 AN686 概要 本应用笔记讨论了什么是单片机监控器件, 为什么需要这些器件以及在选择这些器件时需要考虑的一些因素 监控器件属于一个很广的范畴, 它涵盖了上电复位 (Power on Reset,POR) 器件 欠压检测 (Brown-Out Detect,BOD) 器件和看门狗定时器器件 本应用笔记将仅讨论具有 POR 和 BOD 功能的监控器件 监控电路的工作 监控电路可用于几种不同的应用,

More information

70641A.book

70641A.book AN1299 调整指南 1.1 配置双电流检测电阻模式 本文档介绍了 AN1299 PMSM 无传感器 FOC 的单电流检测电阻三相电流重构算法 (DS01299A_CN) 中所述算法调整所需的步骤和设置 1. 第一步, 用户需完成 AN1078 中的调整过程, 其中介绍了在具体电机和硬件上运行滑模控制器 (Slide Mode Controller, SMC) 所需的全部步骤 2. 应用运行后,

More information

2.0 MHz, 500 mA Synchronous Buck Regulator

2.0 MHz, 500 mA Synchronous Buck Regulator 2.0 MHz 500 ma 同 步 降 压 稳 压 器 MCP1603 特 性 典 型 效 率 大 于 90% 输 出 电 流 最 高 为 500 ma 低 静 态 电 流 = 45 µa ( 典 型 值 ) 低 关 断 电 流 = 0.1 µa ( 典 型 值 ) 可 调 输 出 电 压 : - 0.8V 至 4.5V 固 定 输 出 电 压 : - 1.2V 1.5V 1.8V 2.5V 和

More information

00966A.book

00966A.book SPI 串行 EEPROM 与 PICmicro 单片机的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 目前市场上有许多种单片机用在嵌入式控制系统设计中, 这些嵌入式控制系统中的很大一部分都要用到非易失性存储器 由于串行 EEPROM 具有封装尺寸小, 存储容量灵活, 对 I/O 引脚要求低, 和低功耗低成本等特点, 已成为非易失性存储器的首选

More information

0507A_Auto Tech_Microchip

0507A_Auto Tech_Microchip 汽 车 无 线 安 全 接 入 技 术 解 决 方 案 Youbok Lee 主 任 工 程 师 Willie Fitzgerald 产 品 营 销 总 监 Microchip Technology Inc. 引 言 全 球 汽 车 半 导 体 面 临 极 大 的 市 场 机 会, 而 汽 车 设 计 工 程 师 正 在 应 对 高 性 价 比 的 性 能 增 强 功 耗 小 尺 寸 和 安 全 性

More information

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光 用 于 光 探 测 应 用 的 MCP649 运 放 AN494 作 者 : 简 介 Yang Zhen Microchip Technology Inc. 许 多 光 探 测 应 用 中 经 常 使 用 低 输 入 偏 置 运 算 放 大 器 ( 运 放 ), 以 降 低 电 流 误 差 和 提 高 输 出 信 号 的 精 度 以 下 为 典 型 的 光 探 测 应 用 : 烟 雾 探 测 器 火

More information

01277A_cn.book

01277A_cn.book 使用 C32 编译器进行串行 SRAM 器件与 PIC32 MCU 的接口设计 作者 : 引言 Pinakin K Makwana Microchip Technology Inc. Microchip 的串行 SRAM 产品线提供了向应用添加附加 RAM 的一种新方法 这些器件采用 8 引脚小型封装以及 SPI 接口, 使设计人员提升系统的灵活性 Microchip Technology 的 23XXXX

More information

01018A.book

01018A.book 使用 C18 编译器进行 SPI 串行 EEPROM 与 PIC18 单片机的接口设计 作者 : 引言 Chris Parris Microchip Technology Inc. Microchip Technology 生产的 25XXX 系列串行 EEPROM 兼容 SPI 通信标准, 最大时钟频率范围从 3MHz 到 20 MHz 许多时候, 在设计利用串行 EEPROM 器件的应用时, 使用非专用

More information

93004A.book

93004A.book 用 dspic DSC MCPWM 模块驱动交流感应电机 作者 : Jorge Zambada Microchip Technology Inc. 如图 1 所示, 电机绕组的电感对 PWM 电压源的电流进行滤波 正如下文即将介绍的, 基于该原理我们可利用 PWM 信号产生正弦波来对三相 ACIM 进行通电 引言 本文档概括介绍了采用 dspic3f 电机控制数字信号控制器系列芯片实现的电机控制 PWM

More information

01476A.book

01476A.book 结合 CLC 和 NCO 实现高分辨率 PWM AN1476 作者 : 简介 Cobus Van Eeden Microchip Technology Inc. 虽然许多应用可以使用分辨率低于 8 位的 PWM, 但是有一些应用 ( 例如灯具调光 ) 由于人眼灵敏度的原因需要较高分辨率 背景 传统的 PWM 使用定时器来生成规则的开关频率 (T PWM ), 然后使用纹波计数器来确定在脉冲结束之前,

More information

Using Microchip’s Micropower LDOs

Using Microchip’s Micropower LDOs 使用 Microchip 的微功率 LDO AN765 作者 : 简介 Paul Paglia, 公式 1: Microchip Technology Inc. = V REF [( ) 1] V REF = 1.20V Microchip Technology, Inc 的微功率 LDO 系列采用低电压 CMOS 工艺技术 这些 LDO 提供与双极型稳压器类似的纹波抑制和压差特性, 但效率却显著提高了

More information

00513D_CN.FM

00513D_CN.FM 使用 PIC16C54 实现模数转换 AN513 作者 : 简介 本应用笔记介绍了一种使用 PIC16C5X 系列单片机实现模数转换 (Analog to Digital,A/D) 的方法 仅需 5 个外部元件即可实现该转换器, 且可通过软硬件配置使转换分辩率达到 6 到 10 位且转换时间不短于 250 µs 这一方法可用于电压和电流转换且使用软件校准技术来补偿由于时间和温度漂移以及元件误差造成的精度误差

More information

39697b.book

39697b.book 第 9 章看门狗定时器 (WDT) 目录 本章包括下列主题 : 9.1 简介...9-2 9.2 WDT 工作原理... 9-3 9.3 寄存器映射... 9-7 9.4 设计技巧... 9-8 9.5 相关应用笔记... 9-9 9.6 版本历史... 9-10 9 看门狗定时器 (WDT) 2010 Microchip Technology Inc. DS39697B_CN 第 9-1 页 PIC24F

More information

01096B.book

01096B.book 使用 C30 编译器进行 SPI 串行 EEPROM 与 dspic33f 和 PIC24F 的接口设计 AN1096 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. Microchip 的 25XXX 系列串行 EEPROM 均与 SPI 兼容, 其最大时钟频率在 3 MHz 到 20 MHz 的范围内 许多时候, 当设计利用串行 EEPROM

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

01236B.book

01236B.book 使用 C3 和定时器连接 dspic33 DSC 和 PIC24 MCU 与兼容 UNI/O 总线的串行 EEPROM 作者 : 简介 Martin Kvasnicka Microchip Technology Inc. 随着嵌入式系统小型化的趋势, 市场对于减少器件间通信所用 I/O 引脚数的需求也与日俱增 Microchip 开发的 UNI/O 总线正满足了这一需求, 这一个低成本且易于实现的解决方案,

More information

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide 跟踪接口工具包规范 跟踪接口工具包 简介 MPLAB REAL ICE 在线仿真器跟踪接口工具包 (AC2446) 可与具有跟踪连接器的 PIC32 接插模块 (PIM)( 表 1-1) 配合使用 具有跟踪连接器的 PIC32 PIM 支持 PIC32 指令跟踪 请参见仿真器文档了解关于 PIC32 指令跟踪的更多信息 表 1-1: PIC32 PIM 列表 * PIM 编号 PIM 名称 跟踪连接器

More information

93001A.book

93001A.book 使用 dspic30f 器件实现 BLDC 电机控制入门 作者 : 引言 Stan D Souza Microchip Technology Inc. 由于直流无刷 (BLDC) 电机可降低能耗及维护成本, 因此在对效率和可靠性要求较高的应用场合 BLDC 电机正重新受到关注 在大量应用中, dspic30f 电机控制芯片是多种类型 BLDC 电机的理想驱动和控制器件 Microchip 已经开发了许多基于

More information

33023A.book(31001A_cn.fm)

33023A.book(31001A_cn.fm) 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 面 一 些 主 要 内 容 : 1.1 简 介...1-2 1.2 本 手 册 的 宗 旨... 1-3 1.3 器 件 结 构... 1-4 1.4 开 发 支 持... 1-6 1.5 器 件 种 类... 1-7 1.6 格 式 和 符 号 的 约 定... 1-12 1.7 相 关 文 档... 1-14 1.8 相 关 应 用 笔

More information

800 mA Fixed-Output CMOS LDO with Shutdown

800 mA Fixed-Output CMOS LDO with Shutdown I 2 C 7/8-7 128 129-8 256 257 4 R AB - 5 kω - 1 kω - 5 kω - 1 kω 75Ω - 5 ppm -7 C - 15 ppm I 2 C - 1 khz - 4 khz - 3.4 MHz / TCON 1.5V 2.5 ua 12.5V SCL SDA - 2.7V 5.5V - 1.8V 5.5V -3 db 2 MHz 5. kω -4

More information

RW Focus Asia Whitepaper CH1029

RW Focus Asia Whitepaper CH1029 F CUSASIA 1 Robert Walters Focus Asia Whitepaper Matthew Bennett Robert Walters 2 03 04 06 08 10 13 13 14 3 4 5 Robert Walters Focus Asia Whitepaper 6 7 Robert Walters Focus Asia Whitepaper 8 9 Robert

More information

MCP1630

MCP1630 可 用 单 片 机 控 制 的 高 速 脉 宽 调 制 器 特 性 高 速 PWM 操 作 ( 电 流 检 测 至 输 出 有 12 ns 延 时 ) 工 作 温 度 范 围 : - -4 C 至 125 C 精 确 峰 值 电 流 限 制 (±5%)(MCP163) 电 压 模 式 和 平 均 电 流 模 式 控 制 (MCP163V) CMOS 输 出 驱 动 器 ( 直 接 驱 动 MOSFET

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

目录 简介 概念 解决方案 / 实现 相关资源... 7 Microchip 网站... 8 变更通知客户服务... 8 客户支持... 8 Microchip 器件代码保护功能... 8 法律声明... 9 商标... 9 DNV 认证的质量管理体系

目录 简介 概念 解决方案 / 实现 相关资源... 7 Microchip 网站... 8 变更通知客户服务... 8 客户支持... 8 Microchip 器件代码保护功能... 8 法律声明... 9 商标... 9 DNV 认证的质量管理体系 什么是 ICM? 如何将 ICM 用于加密 简介 完整性检查监控器 (Integrity Check Monitor,ICM) 是一个 DMA 控制器, 可使用 Cortex M7 MCU 内存 (ICM 描述符区 ) 中的传输描述符在多个存储区上执行哈希计算 ICM 集成了用于哈希的安全哈希算法 (Secure Hash Algorithm,SHA) 引擎 基于 SHA 的哈希适合用于密码验证 质询哈希身份验证

More information

00884a_cn.book

00884a_cn.book .E-0.E+00.E+0.E+0.E+03.E+04.E+05.E+06.E+07 使用运放驱动容性负载 AN884 作者 : 简介 运算放大器在驱动大的容性负载时, 若不采取正确地补偿, 则会产生尖峰和振荡问题 其他问题还包括 : 带宽减小, 输出压摆率降低和功耗增加 本应用笔记将解释为什么会发生这些问题, 如何改变运放电路来达到更佳性能, 以及如何快速计算电路的参数值 简化的运放模型 Kumen

More information

93002A.book

93002A.book 使用 QEI 模块测量速度和位置 GS002 作者 : 引言 本文档给出了电机控制系列 dspic30f 数字信号控制器中正交编码器 (Quadrature Encoder Interface, QEI) 模块的概述 还提供了一个需要测量转子速度和位置的典型电机控制应用的代码示例 QEI 模块 Jorge Zambada Microchip Technology Inc. 正交编码器 ( 又称增量式编码器或光电式编码器

More information

「兆豐商業銀行」原交通銀行客戶重要權益手冊

「兆豐商業銀行」原交通銀行客戶重要權益手冊 行 益 行 95 年 8 錄 1 1 參 4 6 金 7 陸 金 7 7 8 錄 行 行 18 錄 行 行 19 行 行 益 行 行 行 95.8.21 行 行 Mega International Commercial Bank Co., Ltd. 行 017 北 路 123 金 樓 行 不 行 行 行 行 行 更 行 更 行 連 參 錄 不 益 益 不 利 行 行 利 不 行 www.ctnbank.com.tw

More information

00992A.book

00992A.book 用 dspic30f2010 控制无传感器 BLDC 电机 AN992 作者 : 概述 Stan D Souza Microchip Technology 本应用笔记介绍了如何使用 dspic30f2010 数字信号控制器控制无传感器的 BLDC 电机 应用中采用的技术是基于另一 Microchip 应用笔记 : dspic30f 在无传感器 BLDC 控制中的应用 (AN901) 本文介绍了如何将

More information

MASTERs 06 Slide Template

MASTERs 06 Slide Template 2009 Microchip Technology Incorporated. 版权所有 C10L08 BAT 第 1 页 C10L08 BAT 便携式产品中的电池管理策略 课程目标 完成课程后, 你将了解到 : 不同化学电池的基本原理和如何在便携式设计中进行应用 针对应用选择合理的电池管理 便携式电源的新趋势以及选择拓扑结构的关键因素 2009 Microchip Technology Incorporated.

More information

LayoutPhyCS_cn.book

LayoutPhyCS_cn.book 电容触摸传感器布板和物理设计指南 AN1102 作者 : 介绍 本应用笔记用于说明 AN1101 电容触摸传感简介 中介绍的电容触摸解决方案的布板和物理设计 电容触摸系统的布板和物理设计是设计过程中尤为重要的部分 良好的布板可以使软件实现更为简单 取决于实际应用, 布板可能非常简单, 也可能很复杂, 但相同而简单的设计指南将适用于所有的布板 焊盘外形和尺寸 一般规则 当设计电容触摸按键时, 焊盘的形状并不很重要

More information

SMSC LAN8700 Datasheet

SMSC LAN8700 Datasheet Microchip LAN9252 SDK 与 Beckhoff EtherCAT SSC 集成 AN1916 作者 : Kansal Mariam Banu Shaick Ibrahim Microchip Technology Inc. 简介 Microchip LAN9252 是一款集成双以太网 PHY 的 2/3 端口 EtherCAT 从控制器 (EtherCAT Slave Controller,ESC)

More information

物理传感器布线设计 基本设计要素包括传感器的尺寸 传感器相对于接地层和 / 或其他低阻抗走线的位置, 以及 mtouch/righttouch 器件中的具体设置 在遵守几个简单准则的前提下, 可以对器件进行独特的设计, 来检测用户接近或附近金属物体和高介电常数物体的移动 要实现具有高信号强度和低噪声

物理传感器布线设计 基本设计要素包括传感器的尺寸 传感器相对于接地层和 / 或其他低阻抗走线的位置, 以及 mtouch/righttouch 器件中的具体设置 在遵守几个简单准则的前提下, 可以对器件进行独特的设计, 来检测用户接近或附近金属物体和高介电常数物体的移动 要实现具有高信号强度和低噪声 Microchip 电容式接近检测设计指南 AN1492 作者 : 简介 Xiang Gao Microchip Technology Inc. 接近检测为用户提供了一种无需物理接触即可与电子设备进行交互的新方法 该技术可提升产品美感, 改进用户体验并降低功耗 为实现接近检测, 人们已使用了许多方法 : 电磁 IR 光学 多普勒效应 电感和电容 每种方法均有各自的优势和局限性 电容传感方法的原理是检测传感器上由于用户触摸或接近而产生的电容变化

More information

01019A.book

01019A.book EEPROM 耐擦写能力教程 作者 : 基本术语 David Wilkie Microchip Technology Inc. 耐擦写能力 (Endurance) ( 指 EEPROM) 的定义中包含一些需要明确定义和理解的词语和短语 从以下段落可以看出, 不同厂商使用不同的标准 耐擦写循环 (Endurance Cycling) 是所有厂商 ( 和一些客户 ) 采用的测试方法, 用以确定产品经过多少次

More information

文件名

文件名 MICROCHIP PIC10F200/202/204/206 6 8 FLASH PIC10F200 PIC10F202 PIC10F204 PIC10F206 RISC CPU 33 12 8 8 4MHz 1 s 4MHz 1% TM ICSP TM ICD POR DRT WDT RC MCLR I/O /CMOS < 350 A @ 2V 4 MHz 100 na @ 2V FLASH 10000

More information

Rotork E120E IQ brochure

Rotork E120E IQ brochure Rotork IQ IQ IQ 3 7 IP68(3-8 )NEMA 9 6 11 IQ 12 1 16 18 Rotork Controls Ltd, Bath, UK 2 Rotork Controls Inc, Rochester, USA IQ IQ IQ PCIQ-Insight IQ IQ IQ IP67 IQ - EEx ia IIC T ( ) Insight IrDA TM 9 (RS232-IrDA

More information

RW Salary Survey 2015 - China A4

RW Salary Survey 2015 - China A4 2015 中国 2014年 中国政府实施从纯制造业和基础设施行业向高科 技行业的转型 加强专业服务和消费者领域发展 以出口 刺激经济增长 由于政府的投资政策重新定位 我们看到越来越多的研发 中心在国内成立 更多国际专业服务公司进入中国市场 奢侈品及零售品牌进驻苏州 杭州 南京和成都等二线城 市 带动了当地市场的招聘需求 纵观总体经济 经济普遍增长 就业市场前景乐观 雇员 与雇主信心增强 2014年前三季度GDP增长率达7.4%

More information

untitled

untitled 3 4 Watson Farley & Williams 5 6 Watson Farley & Williams 7 9 10 Watson Farley & Williams 11 ATHENS BANGKOK DUBAI FRANKFURT HAMBURG HONG KONG LONDON MADRID MILAN MUNICH NEW YORK PARIS ROME SINGAPORE wfw.com

More information

Rotork new IQ brochure

Rotork new IQ brochure Rotork IQ Rotork IQ 3 40 4 5 6 7 Rotork 8 10 12 14 16 18 20 Rotork Rotork Rotork Controls Ltd, Bath, UK 2 Rotork Controls Inc, Rochester, USA Rotork IQ Rotork IQ IQ Rotork IQ Rotork IQ IQ Rotork IQ IQ

More information

70640A.book

70640A.book AN1292 调整指南 1.1 设置软件参数 本文档介绍了使用 AN1292 利用 PLL 估算器和弱磁技术 (FW) 实现永磁同步电机 (PMSM) 的无传感器磁场定向控制 (FOC) (DS01292A_CN) 中所述的算法来运行电机的分步过程 文件中定义了所有的主要可配置参数 使用 tuning_params.xls Excel 电子表格 ( 见图 1-1) 将参数修改为内部数值格式 此文件包含在

More information

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩 21 世 纪 全 国 高 校 应 用 人 才 培 养 旅 游 类 规 划 教 材 中 国 公 民 出 境 旅 游 目 的 地 国 家 ( 地 区 ) 概 况 方 海 川 主 编 付 景 保 副 主 编 内 容 简 介 中 国 公 民 出 境 旅 游 目 的 地 国 家 ( 地 区 ) 概 况 一 书 共 分 5 章, 第 1 章 主 要 介 绍 有 关 中 国 出 境 旅 游 市 场 的 发 展 历

More information

AN901.book

AN901.book dspic30f 在 无 传 感 器 BLDC 控 制 中 的 应 用 AN901 著 者 : 合 著 者 : 引 言 本 应 用 笔 记 描 述 了 一 种 完 全 可 行 且 高 度 灵 活 的 软 件 应 用, 使 用 dspic30f 来 控 制 无 传 感 器 无 刷 直 流 (brushless DC,BLDC) 电 机 此 软 件 将 dspic30f 外 设 广 泛 应 用 于 电

More information

The DatasheetArchive - Datasheet Search Engine

The DatasheetArchive - Datasheet Search Engine 750 khz 升 压 控 制 器 特 征 输 出 功 率 高 于 5W 输 出 电 压 从 3.3V 至 100V 以 上 750 khz 门 控 振 荡 器 开 关 频 率 对 电 池 供 电 或 宽 输 入 电 压 范 围 设 备, 占 空 比 可 调 输 入 电 压 范 围 :2.0V 至 5.5V 应 用 单 端 初 级 电 感 转 换 器 (SEPIC) 和 反 激 式 拓 扑 结 构

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上 PIC 单片机的窗口看门狗定时器 TB3123 作者 : Ashutosh Tiwari Microchip Technology Inc. 简介 窗口看门狗定时器 (Windowed Watchdog Timer, WWDT) 是 PIC 单片机的增强型看门狗定时器 该定时器是 PIC 单片机现有看门狗定时器 (Watchdog Timer, WDT) 的扩展 Microchip 的 WDT 具有可配置的时间阈值上限和固定的时间阈值下限

More information

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit 采用 XLP 技术的全功能低引脚数单片机产品简介 说明 : 单片机具有模拟 内核独立外设以及通信外设特性, 并结合了超低功耗 (extreme Low Power,XLP) 技术, 适用于一系列广泛的通用和低功耗应用 外设引脚选择 (Peripheral Pin Select, PPS) 功能可以在使用数字外设 ( 和通信 ) 时进行引脚映射, 以增加应用设计的灵活性 内核特性 : 优化的 C 编译器

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

24FJ256GB210 PB rev A.book

24FJ256GB210 PB rev A.book 带有 USB OTG 的 16 位 64/100 引脚闪存单片机产品简介 通用串行总线特性 : 兼容 USB v2.0 On-The-Go (OTG) 双重功能 可作为主机或外设 在主机模式下 USB 可低速 (1.5 Mb/s) 和全速 (12 Mb/s) 运行 在设备模式下 USB 可全速运行 用于 USB 的高精度 PLL 最多支持 32 个端点 ( 双向 16 个 ): - 端点缓冲器可在首个

More information

Hong Kong Pavilion.pdf

Hong Kong Pavilion.pdf 18 th Shanghai International Children Maternity Industry Expo 25-27 / 7 / 2018 National Exhibition and Convention Center Shanghai 18 th Shanghai International Children Maternity Industry Expo CBME 25-27

More information

半导体 PROD U C T L I S TIN G S 整流器 Superectifier 高功率二极管和晶闸管 小信号二极管 PIN 齐纳和抑制二极管 TVS TRANSZORB ESD 被动器件 电阻产品 Power Metal Strip NTC PTC FET TrenchFET MOSF

半导体 PROD U C T L I S TIN G S 整流器 Superectifier 高功率二极管和晶闸管 小信号二极管 PIN 齐纳和抑制二极管 TVS TRANSZORB ESD 被动器件 电阻产品 Power Metal Strip NTC PTC FET TrenchFET MOSF V I S H AY I N T E R T E C H N O L O G Y, I N C. 齐 纳 二 极 管 齐纳二极管 齐 纳 二 极 管 SOD -523 SOD-323 S O D -12 3 S O T- 2 3 D O - 2 19 A B ( S M F ) D O - 2 15 A A DO -220A A D O - 2 14 ( S M A ) D O - 2 14 A A

More information

01024B.book

01024B.book PIC16F639 PKE Youbok Lee, Ph.D. Microchip Technology Inc. mvpp PKE PKE Passive Keyless EntryPKE PKE 4 1. PKE 2. Low- 3. FrequencyLF 4. PIC16F639 3 MCU PKE 9 12 VDC PIC16F639 MCU PKE 300 VPP 125 khz MCU

More information

Careers Guidance 2016 Web.pdf

Careers Guidance 2016 Web.pdf Mainland China 235 Australia Tuition Fee () Course Tuition fee per year (A$) Living Cost University 236 Foundation Studies (VET) Vocational Education and Training (VET) 237 Canada Universities The College

More information

_LIT MAN USR LX380-L-CHI

_LIT MAN USR LX380-L-CHI 103-009100-01 103-017101-01* * 2 Rev. 1 4 0.7' (20 cm) 1.5' (50 cm) 3' (1 m) 1.5' (50 cm) 10 5 6 7 10 10 10 10 10 10 8 9 10 11 12 13 14 15 II 1 2 3 16 1 2 17 1 2 3 18 1 2 1 2 3 19 32.8' (10.0m) 22.0' (6.7m)

More information

PIC32 Starter Kit User’s Guide

PIC32 Starter Kit User’s Guide PIC32 入门工具包用户指南 2010 Microchip Technology Inc. DS61159B_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意

More information

EPSON Safety Instructions Manual

EPSON Safety Instructions Manual ... 2... 9... 11... 12 Epson... 14 1 2 3 4 5 ( ) A/V 50cm 6 7 + - 8 LCD LCD LCD 9 14 UL NEMKO CSA FIMKO BSI LCIE IMQ CEBEC SAA KEMA OVE PSE SEV EK SEMKO CCC DEMKO PSB VDE 10 CD-ROM http://www.epson.com

More information

Ps22Pdf

Ps22Pdf , :,,,,,,,,,,,,, ( CIP) /. :, 2004 7 ISBN 7-04 - 015186-3... - - - -. G245 CIP ( 2004) 062250 4 100011 010-82028899 010-64054588 800-810 - 0598 ttp: / / www hep edu cn http: / / www hep com cn 787 1092

More information

香 港 品 牌 的 形 象 標 誌 帶 有 神 話 色 彩, 是 一 條 以 現 代 手 法 演 繹 的 飛 龍, 突 顯 了 香 港 的 歷 史 背 景 和 文 化 傳 統 由 飛 龍 延 伸 出 來 的 藍 綠 彩 帶, 分 別 代 表 藍 天 綠 地 和 可 持 續 發 展 的 環 境 ; 紅 色 彩 帶 則 勾 劃 出 獅 子 山 山 脊 線, 象 徵 香 港 人 我 做 得 到 的 拼 搏

More information

全.pdf

全.pdf 1 2 3 4 5 6 7 /// 8 9 2 Your China Logistics Specialist in Taiwan May, 2010 10 Dimerco Global Organization Board of Directors CEO 11 CRP Office Corporate Marketing Corporate MIS Corporate Finance & Accounting

More information

bp.com/statisticalreview #BPstats 1 2 3 4 5 # 6 () 150 120 160 140 120 90 100 80 60 60 40 30 20 0 85 90 95 00 05 10 15 0 2.5 47.3 7.6 3.0 55.0 8.1 9.1 12.5 6.4 3.5 58.9 10.1 14.0 11.3 16.3 7.4 7.5 19.4

More information

SMK环境报告书2009

SMK环境报告书2009 Contents 1995SMK corporate citizen RoHSRoHSJ-MossREACH SMK CO2 298 28 1 2 3 4 4 5 6 7 9 1 28284129331 Gate City Office ASIA SMK High-Tech Taiwan Trading Co., Ltd. SMK Electronics (H.K.) Ltd. SMK Trading

More information

1

1 1 2 3 4 5 6 7 8 9 10 11 12 13 1985 1995 2005 2015 2025 15 33.5% 31.5% 28.1% 25.9% 24.1% 59 79 8.9% 9.5% 10.4% 12.4% 15.1% 0.9% 1.1% 1.3% 1.7% 2.0% 23.8 25.7 28.1 30.4 32.8 100+ 95-99 90-94 85-89 80-84

More information

21927a.book

21927a.book PS00 开关模式充电器评估板 特性 完整的 PS00 电池充电器应用电路 直接与 Microchip PowerInfo (PS0) 接口板和 PowerCal (PS0) 校准板连接 使用 PS00 PowerTool 00 PC 软件配置 PS00 使用在线串行编程 (In-Circuit Serial Programming, ICSP ) 更改或升级固件 充电器电路评估条件 : - 最大电压

More information

前 言 我 很 荣 幸 为 您 呈 献 华 德 士 首 期 大 中 华 区 财 务 总 监 报 告 报 告 旨 在 帮 助 财 务 总 监 深 入 了 解 人 才 管 理 和 财 务 部 门 之 间 的 潜 在 联 系 这 本 名 为 利 用 人 才 管 理 策 略 提 高 财 务 部 门 绩 效

前 言 我 很 荣 幸 为 您 呈 献 华 德 士 首 期 大 中 华 区 财 务 总 监 报 告 报 告 旨 在 帮 助 财 务 总 监 深 入 了 解 人 才 管 理 和 财 务 部 门 之 间 的 潜 在 联 系 这 本 名 为 利 用 人 才 管 理 策 略 提 高 财 务 部 门 绩 效 华 德 士 大 中 华 区 财 务 总 监 报 告 利 用 人 才 管 理 策 略 提 高 财 务 部 门 绩 效 1 前 言 我 很 荣 幸 为 您 呈 献 华 德 士 首 期 大 中 华 区 财 务 总 监 报 告 报 告 旨 在 帮 助 财 务 总 监 深 入 了 解 人 才 管 理 和 财 务 部 门 之 间 的 潜 在 联 系 这 本 名 为 利 用 人 才 管 理 策 略 提 高 财 务

More information

untitled

untitled Headquarters Nakanoshima Mitsui Building 3-3-3 Nakanoshima, Kita-ku Osaka, 530-0005, Japan Phone : +81-6-6441-0011 URL : http://tsubakimoto.com Chain & Power Transmission Sales 1-3 Kannabidai 1-chome Kyoutanabe,

More information

#00chp_ii-viiiCS.p65

#00chp_ii-viiiCS.p65 The contents of this guide are printed on 70% recycled paper. CANON INC. 30-2, Shimomaruko 3-chome, Ohta-ku, Tokyo 146-8501, Japan CANON U.S.A., INC. One Canon Plaza, Lake Success, NY 11042, U.S.A. CANON

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

力矩电机 - 高速 (TORQUE MOTOR-HIGH SPEED) 选型目录 基本选型... 2 型号说明... 3 型号 :GTMH 型号 :GTMH 型号 :GTMH 型号 :GTMH

力矩电机 - 高速 (TORQUE MOTOR-HIGH SPEED) 选型目录 基本选型... 2 型号说明... 3 型号 :GTMH 型号 :GTMH 型号 :GTMH 型号 :GTMH 选型目录 基本选型... 2 型号说明... 3 型号 :GTMH 0175-050... 4 型号 :GTMH 0175-070... 7 型号 :GTMH 0175-100... 10 型号 :GTMH 0175-150... 13 型号 :GTMH 0291-050... 18 型号 :GTMH 0291-070... 21 型号 :GTMH 0291-100... 24 型号 :GTMH 0291-150...

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Microsoft Word - 43屆2011年國際化奧總報告 revised by FTS.doc

Microsoft Word - 43屆2011年國際化奧總報告 revised by FTS.doc 台 灣 ( 中 華 台 北 ) 4 名 高 中 學 生 贏 得 2011 年 土 耳 其 43 屆 國 際 化 奧 自 由 與 民 主 超 級 生 命 雙 螺 旋 (A-T)(C-G) 配 對 的 美 譽 國 際 化 學 奧 林 匹 亞 競 賽 計 畫 主 持 人 兼 代 表 團 團 長 摘 要 第 43 屆 2011 年 國 際 化 學 奧 林 匹 亞 競 賽 七 月 17 日 下 午 3 時 於

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

A2 LOCAL 201486 350 1.3 1.7 10 10 04 7 6 10 6 23 1 25 3 1,400 160 10% 5Wi-Fi 97 8 5 5 Wi-Fi 30 Wi-Fi Wi-Fi. HK 5 Wi-Fi Wifi 8 5 Wi-Fi.HK Wi-Fi.HK 30 W

A2 LOCAL 201486 350 1.3 1.7 10 10 04 7 6 10 6 23 1 25 3 1,400 160 10% 5Wi-Fi 97 8 5 5 Wi-Fi 30 Wi-Fi Wi-Fi. HK 5 Wi-Fi Wifi 8 5 Wi-Fi.HK Wi-Fi.HK 30 W 2014 08 06 1 No. % Hong Kong Edition EPOCHHK.COM EPOCHTIMES.COM 35 21 5 173,326,770 852-21569992 2002.11-2012.11 2002.11-2012.11 2002.11-2012.11 2002.11-2007.10 2002.11-2007.10 7 29 7 29 1999 15 4 9 4

More information

51406a.book

51406a.book dspicdem 电机控制入门指南 1.0 概述 现在, 用户也许手头上拥有了一整套可用来开发自己 dspic 电机控制应用的设备装置, 但却为不知如何将其进行正确连接以使电机运行而烦恼 事实上, 有许多技术资料可帮助用户实现上述目标, 但用户可将本文档视作使用 dspic30f 运动控制开发硬件实现电机控制的入门指南 特别指出的是, 本文档将对以下内容进行介绍 : 如何设置电机控制硬件 连接电机和使电机运行

More information

导成word文件

导成word文件 黄 金 行 业 月 度 分 析 报 告 2016 年 9 月 5 日 发 布 Beijing Unbank Technology Co.,Ltd. www.unbank.info [ 全 国 ] http://www.unbank.info 1 服 务 电 话 :(010)63368810 目 录 第 一 章 宏 观 经 济... 4 一 本 月 宏 观 经 济 运 行... 4 ( 一 ) 中 国

More information

DS21335.book

DS21335.book 具有关断和参考旁路功能的 50 ma 100 ma 和 150 ma CMOS LDO 特性 : 极低的供电电流 ( 典型值 50 µa) 超低压差 有 50 ma (TC1014) 100 ma (TC1015) 和 150 ma (TC1016) 输出可供选择 高输出电压精度 标准或定制输出电压 节能关断工作模式 可进行超低噪声运行的参考旁路输入方式 过电流和过热保护 节省空间的 5 引脚 SOT23A

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

CorpbrocTaiwan.IND

CorpbrocTaiwan.IND 我們的專業技術 微控器與類比產品的領導供應商 Microchip Technology Inc. (NASDAQ: MCHP) 為微控器與類比產品的領導供應商, 對於全球數千種不同客戶的產 品應用提供低風險的產品開發 更低的系統成本與更快的產品上市速度 總部設於美國亞利桑那州 Chandler 市, Microchip 提供卓越的技術支援以及可靠的交貨時間與品質 成立於 1989 年,Microchip

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

How To Configure the Memory Protection Unit

How To Configure the Memory Protection Unit 如何配置存储器保护单元 (MPU) 简介 存储器保护单元 (Memory Protection Unit,MPU) 是 Cortex -M7 内核提供的一个可选组件, 用于保护存储器 它根据权限和访问规则将存储器映射分为许多区域 本文档旨在让用户熟悉 MPU 存储区的配置, 此配置由 Microchip 基于 Cortex-M7 的 MCU 提供 MPU 的特性如下 : 防止不可信应用程序出于侵犯知识产权目的对受保护存储区进行访问

More information

MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问

MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问 开发工具 Microchip开发工具快速指南 www.microchip.com/tools MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问 www.microchip.com/dts

More information

2012csr中文版0812

2012csr中文版0812 r 让太阳能造福全人类 成就客户 开放心胸 中国 常州 公司总部 中国江苏省常州市新北区 天合光伏产业园天合路2号 213031 T + 86 519 8548 2008 F + 86 519 8517 6021 E sales@trinasolar.com 上海 尊重共赢 日本 德国 Trina Solar (Japan) Limited World Trade Center Building 21st

More information

2004年中国机场行业投资分析报告

2004年中国机场行业投资分析报告 2004 15% 500 7-8 70 10 1 40% 60% 2002 / 1 2004...1...1...2...3...3...3...4...5...6...6...7...8...10...12...13...15...17...18...20...21...23...24...25...25...26 1 2004...27...28...31...33...35...36...39...40...40...41...43...45...46...47...49...50...52...53...55...56...57...57...58...60...61...62

More information