正弦信号发生器

Size: px
Start display at page:

Download "正弦信号发生器"

Transcription

1 福建师范大学协和学院 电子系统设计 指导书 设计题目 : 正弦交流信号压频测量仪 年级 : 2012 指导教师 : 蔡银河 陈清华 协和学院信息技术系 二零一五年四月

2 目录 1 课程设计目的 任务及要求 课程设计目的 课程设计任务 课程设计要求 说明 评分标准 总体设计方案 总体设计框图 总体设计方案 硬件设计 交直流电压转换电路 正弦波 - 方波变换电路 单片机及其外围电路 软件设计 频率测量 A/D 转换 程序流程图 性能测试与分析 测试设备与型号 性能测试...11 参考文献...11 附录 1 代码

3 1 课程设计目的 任务及要求 1.1 课程设计目的 1 掌握电子系统设计的方法和步聚 2 理解 掌握利用单片机进行正弦交流信号电压和频率测量的软硬件设计 3 掌握单片机为核心的系统的实际调试技巧 1.2 课程设计任务 设计 制作一个可测量单一频率正弦交流信号电压和频率的仪器 电压 频率测量电路 显示电路 1.3 课程设计要求 基本要求 (1) 输入阻抗 :>1kΩ (2) 输入信号电压范围 ( 峰 - 峰值 ):0~5V (3) 输入信号的频率范围 :50Hz~400Hz (4) 数字显示测量结果 ( 电压有效值或峰峰值 信号频率 ) 电压显示分辨率: 小于 1V( 峰 - 峰值 ) 时, 显示精度高于 10mV; 大于等于 1V 时, 显示精度高于 0.1V, 可根据输入电压的大小手动控制或自动控制显示精度 频率显示分辨率 :1Hz (5) 测量误差 : 电压测量误差 : 输入信号小于 1V( 峰 - 峰值 ) 时, 误差 ±20mV, 输入信号大于等于 1V 时, 误差 ±0.1V 频率测量的相对误差的绝对值小于 5% (6) 分析时间 :<=5 秒 应以小于或等于 5 秒的周期刷新测量数据 扩展要求 (1) 扩大输入信号电压范围 0~30V (2) 输入信号的频率成分范围 :20Hz~2kHz (3) 全自动测量, 无需手动控制 3

4 (6) 其他 1.4 说明 1. 电源可用成品, 必须自备, 亦可自制 ( 可酌情加分 ) 2. 设计报告正文中应包括系统总体框图 核心电路原理图 主要流程图 主要的测 试结果 完整的电路原理图 重要的源程序 和完整的测试结果用附件给出 1.5 评分标准 出勤 10%, 设计报告 30%, 基本功能 50%, 发挥部分 10% 4

5 2 总体设计方案 2.1 总体设计框图 如图 2-1 所示, 系统包含六个模块 : 供电电路 交直流电压转换电路 正弦波 - 方波 变换电路 液晶显示电路 集成 ADC 的单片机 按键电路 供电电路 液晶显示电路 Vi (AC) 交直流电压转换电路 ( 有效值 ) 正弦波 - 方波变换电路 集成 ADC 的 单片机 按键电路 图 2-1 总体设计框图 2.2 总体设计方案 输入的交流电压信号分成两路, 一路经过交直流电压转换电路, 将其变换成电压值为输入信号有效值 ( 或成比例关系 ) 的直流电压信号, 转换后的信号经过单片机的 ADC 电路进行 AD 转换, 变成单片机能处理的数字信号 ; 另一路经过正弦波 - 方波变换电路, 将输入的交流电压信号转换成 TTL 电平的方波信号, 便于单片机进行频率测量 单片机将所测得的交流电压有效值和频率值显示在液晶显示屏上, 如有需要可通过按键切换量程 5

6 3 硬件设计 3.1 交直流电压转换电路 RV1(2) +5V RV1 10k R1 10k V D2 U1:A 1 TL062 D1 + 1N4148 C1 10uF R2 1M U1:B 7 TL062 R4 10k C2 10uF To MCU + 1N R3 20k 图 3-1 交直流电压转换电路图 3-1 所示电路为交直流电压转换电路, 其本质上为一个峰值电压检测电路, 该电路可输出一个大小与输入电压成正比关系的直流电压信号 UO=k1*uip 或 UO=k2*uirms, 可通过调节电们 RV1, 改变 k1 k2 的值 该电路工作原理如下 : U1B 构成一个电压跟随器, 其输出电压 UO 始终等于电容 C1 两端的电压 设输入的交流电压信号 ui 经电位器 RV1 分压后, 送到 R1 的电压信号为 ui C1 的初始电压 UC1 为 0V, 电路的输出电压 UO=UC1=0V (1) 当 ui 为正半周时,ui 大于 UO,U1A 构成一个同相开环放大器 ( 此时 D2 截止 ),U1A 输出端饱和导通, 通过 D1 给 C1 充电, 直至达到 ui (2) 当 ui 处于正半周但小于 C1 两端的电压时, 或者 ui 为负半周时,ui 小于 UO,U1A 近似为一个电压跟随器 (D2 导通,D1 截止 ),U1A 的输出电压小于电容 C1 两端的电压,D1 截止,C1 两端的电压通过 R2 缓慢放电 但由于 R2 很大, 直到下次充电前,C1 两端的电压基本不变 (3) 当 ui 再次为正半周, 且大于 UO 时,U1A 再次构成一个同相开环放大器 ( 此时 D2 截止 ), U1A 输出端饱和导通, 通过 D1 给 C1 充电, 直至达到 ui (4) 经过几个周期即可使电容两端的电压达到 ui 的峰值, 输出电压 UO 也达到 ui 的峰值

7 3.2 正弦波 - 方波变换电路 R12 510k +5V C7(1) C7 RV2 1k R13 1k R14 10k U2:A 1 TLC393 R15 4.7k 100nF C8 10uF 图 3-2 交流电压信号转换成方波信号图 3-2 所示电路构成一个过零电压比较器, 将输入的交流电压信号转换成同频反相 TTL 电平的方波信号 图中,R13 R12 和 U2 构成滞回比较器 ( 施密特触发器 ), 上下门限电压由 RV2 的中间抽头以及 R12 和 R13 的比例决定 C8 为滤波电容 R14 为反相输入端提供静态偏置电压 当输入信号正半周时, 使比较器的反相输入端电压高于上门限电压, 比较器输出低电平 ; 当输入信号负半周时, 使比较器的反相输入端电压低于下门限电压, 比较器输出高电平 因 LM393 输出端为集电极开路方式, 因此需接上拉电阻 R15 7

8 3.3 单片机及其外围电路 图 3-3 单片机及外围电路 单片机系统时钟采用外部晶振 4MHZ 交流信号经转换后的直流信号从 AD0(P0.0) 输入, 经 转换后的方波信号从 T0 输入,T0 用于计数,T2 用于定时 1S 测量频率 8

9 4 软件设计 4.1 频率测量 一般待测的频率低时用测周法, 待测的频率高时用测频法 本设计可以采用测频法, 即在 1 秒内 检测到输入脉冲的个数, 即为频率 4.2 A/D 转换 采用 ATMEGA16 内部的 A/D 转换器进行转换, 结果取 10 位, 结果右对齐, 基准电压为 VCC(+5V) 则转换结果为 : ADC(10 位 )=ADC_RES*256+ADC_RESL 对应的输入电压为 :Vin= ADC(10 位 )*5V/1024 9

10 4.3 程序流程图 开始 LCD 初始化 中断入口 定时 / 计数器初始化 次数 =20? A/D 初始化并开始 一次转换 次数清 0 次数加 1 中断入口 处理 A/D 转换结果 读 T0 的值 (TH0,TL0) 读 A/D 转换结果 和频率值 TH0 和 TL0 清 0 开始新的 A/D 转换 LCD 显示频率值 和电压值 中断返回 中断返回 主程序流程图 T1 中断服务程序流程图 A/D 转换中断程序流程图 图 4-1 流程图 10

11 5 性能测试与分析 5.1 测试设备与型号 函数信号发生器 示波器 5.2 性能测试 基本要求 扩展要求 标称频率 (HZ) 测量频率 (HZ) 标称电压 (V) 测量电压 (V) 标称频率 (HZ) 测量频率 (HZ) 标称电压 (V) 测量电压 (V) 误差 (Hz) 相对误差 误差 (Hz) 相对误差 最长响应 时间 ( 秒 ) 最长响应 时间 ( 秒 ) 参考文献 [1] 马潮.AVR 单片机嵌入式系统原理与应用实践 ( 第 2 版 ), 北京航空航天大学出版社, 2011 年 8 月. [2] 康华光. 电子技术基础 : 模拟部分 ( 第五版 ), 高等教育出版社, 2010 年 10 月. [3] 郭天祥. 新概念 51 单片机 C 语言教程, 电子工业出版社. [4] 童诗白. 模拟电子技术基础, 高等教育出版社. 11

12 附录 1 代码 #include <mega16.h> #include <lcd.h> #define uchar unsigned char #define uint unsigned int #asm.equ lcd_port=0x12 //PD 口 #endasm uchar dis_buff[6]=0,0,0,0,'h','z'; long freq=0; uint a=0; long count=0; bit time_1s_ok; uchar dis_buf[]="0.000v"; unsigned int adcdata; long v; void freq_to_disbuff() dis_buff[0]=freq/1000%10+0x30; dis_buff[1]=freq/100%10+0x30; dis_buff[2]=freq/10%10+0x30; dis_buff[3]=freq%10+0x30; if(dis_buff[0]==0x30) dis_buff[0]=' '; if(dis_buff[1]==0x30) 12

13 dis_buff[1]=' '; if(dis_buff[2]==0x30) dis_buff[2]=' '; interrupt [TIM2_COMP] void timer2_comp_isr(void) a++; if(a==500) a=0; time_1s_ok=1; interrupt [TIM0_OVF] void timer0_ovf_isr(void) count++; interrupt [ADC_INT] void adc_server() adcdata=adcw; v=(long)(adcdata)*5000/1024; //adc 值换算为对应电压值 ADCSRA = 0X40; // 启动下一次转换 void adc_to_str() dis_buf[0]=v/1000+0x30; dis_buf[2]=v/100%10+0x30; dis_buf[3]=v/10%10+0x30; // 显示缓冲区 // 显示缓冲区 // 显示缓冲区 13

14 dis_buf[4]=v%10+0x30; // 显示缓冲区 void main(void) DDRA = 0X00; PORTA = 0X00; PORTC=0x3F; DDRC=0x3F; PORTB=0x01; DDRB=0x00; lcd_init(16);//16 列 lcd_clear(); lcd_putsf("vm:"); lcd_gotoxy(0,1);// 显示位置第 0 列, 第 1 行 lcd_putsf("freq:"); //ADC ADMUX=0x40; // ADCSRA=0x8F;// ADEN=1; 分频系数 128 SREG =0X80; ADCSRA = 0X40; // 启动转换 TCCR0=0X06; TCNT0= 0; TCCR2=0x0C; // TCNT2=0; OCR2=124; //125*16us=2ms SREG =0X80; TIMSK=0x81; while (1) 14

15 lcd_gotoxy(3,0);// 显示位置第 3 列, 第 1 行 adc_to_str(); lcd_puts(dis_buf); if(time_1s_ok) time_1s_ok=0; freq=count*256+tcnt0; count=0;tcnt0=0; freq_to_disbuff(); freq=0; lcd_gotoxy(5,1);// 显示位置第 5 列, 第 1 行 lcd_puts(dis_buff); ; 15

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

HT1215.pub

HT1215.pub HT 单相正弦波逆变控制芯片 芯片管脚图及说明 电气特性 工作电压 :.V~.V 总功耗 :mw 流出 的最大电流 :ma 流入 Vcc 的最大电流 :ma 任意引脚的最大输出灌电流 :ma 任意引脚的最大输出拉电流 :ma 单相开关电路图 : 电源 Vcc,.V~.V,: 外接晶振,MHz C,C :~pf : 遥控,P =H( 高电平时 )PWM~PWM 输出低电平, 当 P =L( 低电平时

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

untitled

untitled 1 / 207 ...8 1...8 2...11 3...11...15 1...15 2...16 3...19 ---...22 1...22 2...23 3...24 4...26 5...30 6...34...37 1...37 2...37 3...38...39 1...39 2...44...48 1...48 2...50 2 / 207 ...51 1...52 2...53

More information

《捕捉儿童敏感期》

《捕捉儿童敏感期》 捕 捉 儿 童 敏 感 期 出 版 前 言...7 第 一 章 4 个 孩 子 的 敏 感 期 故 事...8 妞 妞 (0 4 岁 )... 8 黑 白 相 交 的 地 方... 8 旋 转... 9 就 不 要 新 帽 子... 9 小 霸 王... 10 诅 咒... 10 畅 畅 (0 4 岁 )... 11 世 界 就 是 味 道... 11 对 接... 12 宝 贝, 你 的 玩 具

More information

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋 學年度 1 國中基本學力測驗 國文考科試題解析 一 單題 1-34 題 1. 本以為這次的計畫萬無一失 沒想到 最後竟無法實行 下列詞語 何者最 適宜填入 中 (A)亡羊補牢 (B)百密一疏 (C)咫尺天涯 (D)千鈞一髮 答 案 B 命題出處 與南一版第二冊第十課吃冰的滋味應用練習第二大題 詞語辨用 題型內涵同 試題解析 題幹中 計畫萬無一失 最後 竟然無法實行 故選(B) (A)亡羊補牢 丟失了羊

More information

untitled

untitled 1917~2006 ( ) 讀 龍 良 龍 來 便 來 便 老 兩 老 了 便 龍 老 更 不 龍 老 離 牢 了 念 便 了 ( ) 省 ( ) 年 6 年 ---- 95 年 ( ) AD1884 年 陸 讀 盧 北 年 葉 蘭 兩 了 葉 蘭 ( ) 來 林 瑩 兩 勵 立 陵 識 離 見 六 年 惡 力 量 年 不 更 歷 練 了 數 更 留 見 六 六 年 紐 不 流 兩 見 來 落 落

More information

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33 附 件 3 吉 林 省 公 布 的 低 价 药 品 清 单 1 甲 苯 咪 唑 片 吉 林 省 第 一 批 低 价 药 2 双 羟 萘 酸 噻 嘧 啶 片 吉 林 省 第 一 批 低 价 药 3 布 洛 芬 ( 缓 释 胶 囊 缓 释 片 颗 粒 ) 吉 林 省 第 一 批 低 价 药 4 复 方 对 乙 酰 氨 基 酚 片 吉 林 省 第 一 批 低 价 药 5 萘 普 生 片 ( 胶 囊 ) 分

More information

穨飲食與養老_決定版_.PDF

穨飲食與養老_決定版_.PDF 1 *...... 1 * 1 ( 1986) 2 2 3 4 5 2 3 ( 1984) ( ) ( ) 4 5 ( 1986) 407 3 6 7 6 ( 1992) 1293 1296 7 1278 4 5. 8 9 10 8 ( 1987) 6 7 9 ( 1986) 58 10 8 6 11 12 1. 183 ( ) 13 2. 72 14 3. 4.75 4. 4. 75 11 9 89

More information

untitled

untitled AD1897----1931 () 寧 () 年 22 年 ---- 20 年 () 年 更 麟 來 () 1. 年 12 讀 異 15 年 20 北 行 禮 年 六 22 北 23 留 拉 24 紐 倫 25 倫 羅 離 26 林 27 林 林 不 離 女 29 陸 北 識 30 陸 戀 北 不 不 31 陸 北 梁 32 說 黎 鱗 冷 1 / 15 33 梁 葉 參 35 說 輪 36 1119

More information

投影片 1

投影片 1 中 國 文 學 學 與 教 系 列 照 顧 學 生 的 多 樣 性 教 學 經 驗 分 享 會 與 教 系 列 照 顧 學 生 的 多 樣 性 地 利 亞 修 女 紀 念 學 校 ( 協 和 ) 李 浩 芝 老 師 教 學 經 驗 分 享 會 1 學 校 背 景 直 資 學 校 只 收 新 移 民 全 年 收 生 2 中 三 推 介 困 難 : 欠 缺 興 趣, 認 為 與 日 常 生 活 無 關

More information

<4D6963726F736F667420576F7264202D20D5FDB7A8D2ABD1DB20BAE7B9E2CEDECFDE20C7B0D1D4D0DEB8C4342E31332E646F63>

<4D6963726F736F667420576F7264202D20D5FDB7A8D2ABD1DB20BAE7B9E2CEDECFDE20C7B0D1D4D0DEB8C4342E31332E646F63> 正 法 耀 眼 虹 光 无 限 成 就 捷 径 九 乘 之 巅 九 乘 次 第 修 法 有 小 乘 和 大 乘 小 乘 指 的 声 闻 乘 和 缘 觉 乘, 大 乘 指 的 显 宗 和 密 宗 显 宗 指 的 是 菩 萨 乘, 也 就 是 波 罗 蜜 乘 ; 密 乘 就 是 密 宗 金 刚 乘, 有 外 密 和 内 密 外 密 有 三 乘 : 事 部 行 部 和 瑜 伽 部 ; 内 密 也 有 三

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

模拟电子技术基础》(满分150分)

模拟电子技术基础》(满分150分) 模拟电子技术基础 模拟测试一答案 一 填空题 ( 每小题 3 分, 共 5 分. 自由电子, 空穴. 源极 3. 相同. 小 5. 高, 饱和失真 6. 增大, 减小 7. 虚短, 虚断 8. 放大电路, 选频网络 9. 正反馈, 非线性 0. 变窄. 反向击穿. 射, 集, 基 3. 串联, 电压. 选频, 正反馈 5. 最大输出功率, 电源提供的功率 二 分析判断题 ( 本大题共 小题, 每小题

More information

实验7 单管低频电压放大电路

实验7   单管低频电压放大电路 实验 7 单管低频电压放大电路 实验目的. 认识实验所用的电子元器件 ( 双极型晶体管 电阻 电位器和电容器等 ) 2. 学习看图接线, 能熟练使用常用电子测量仪器 3. 学习单管电压放大电路的基本测试方法 4. 了解双极型晶体管电压放大电路中引入负反馈后对其工作性能的影响 实验原理. 单管低频电压放大电路介绍 +U R B RP 00kΩ R 3kΩ 2V R S 0kΩ e S u 0μF RB2

More information

第9章内容提要

第9章内容提要 第 9 章脉冲单元电路 本章主要介绍了 (1) 脉冲信号 ( 矩形脉冲 ) 的波形及其参数 (2) 施密特触发器 单稳态触发器 多谐振荡器工作原理及其应用 (3) 用门电路构成施密特触发器 单稳态触发器 多谐振荡器的基本原理及主要参数计算 (4)555 定时器的电路结构和工作原理 (5) 用 555 定时器构成施密特触发器 单稳态触发器 多谐振荡器的电路结构和参数计算 教学基本要求掌握施密特触发器

More information

2

2 第六章.DC-DC 升压模块 1 2 3 4 5 程序通过 PB7 口产生 PWM 波控制 tps61165 工作, 完成 LED 串点亮以及电流控制, 可通过 LCD 开发板上的滚轮调节 PWM 波的占空比进而实现电流的调节 ; 通过 PE2 的 ADC 功能读取电压测量电路中的 OPA330 的输出电压, 并根据电路原理图计算出测量点的电压值, 显示在 LCD 上 ; 通过 PE3 的 ADC

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

常州中策仪器有限公司是一家集开发 生产和销售于一体的高新技术企业 致力于各种电子测试 测量仪器的研究与发展, 坚持以技术进步为发展目标 目前公司主要产品为 : ZCtek 品牌的元器件参数测量仪器 安全规程测试仪器 变压器 电机 线圈类测量仪器 电声器件类测量仪器 直流参数测试仪器 失真度测量仪器

常州中策仪器有限公司是一家集开发 生产和销售于一体的高新技术企业 致力于各种电子测试 测量仪器的研究与发展, 坚持以技术进步为发展目标 目前公司主要产品为 : ZCtek 品牌的元器件参数测量仪器 安全规程测试仪器 变压器 电机 线圈类测量仪器 电声器件类测量仪器 直流参数测试仪器 失真度测量仪器 常州中策仪器有限公司 变压器 电机 线圈类测量仪器 电声器件类测量仪器 元件参数测量仪器 安全规程测试仪器 失真度测量仪器 价目表 电话 :(0519)85139371,85120128,85120118 传真 :(0519)85120107 1 常州中策仪器有限公司是一家集开发 生产和销售于一体的高新技术企业 致力于各种电子测试 测量仪器的研究与发展, 坚持以技术进步为发展目标 目前公司主要产品为

More information

安徽大学硕士学位论文低电压 CMOS 混频器的设计姓名 : 梁洪波申请学位级别 : 硕士专业 : 电路与系统指导教师 : 陈军宁 20070401 低电压 CMOS 混频器的设计 作者 : 梁洪波 学位授予单位 : 安徽大学 相似文献 (0 条 ) 本文链接 :http://d.g.wanfangdata.com.cn/thesis_y1192309.aspx

More information

赔 偿 ), 保 险 公 司 在 其 承 保 范 围 内 承 担 赔 偿 责 任 ;2 案 件 受 理 费 由 四 被 告 承 担 为 支 持 其 诉 讼 主 张, 原 告 江 明 相 在 举 证 期 限 内 向 本 院 提 供 了 下 列 证 据 材 料 供 法 庭 组 织 质 证 : 1 鉴 定

赔 偿 ), 保 险 公 司 在 其 承 保 范 围 内 承 担 赔 偿 责 任 ;2 案 件 受 理 费 由 四 被 告 承 担 为 支 持 其 诉 讼 主 张, 原 告 江 明 相 在 举 证 期 限 内 向 本 院 提 供 了 下 列 证 据 材 料 供 法 庭 组 织 质 证 : 1 鉴 定 原 告 江 明 相 贵 州 省 织 金 县 人 民 法 院 民 事 判 决 书 委 托 代 理 人 江 如 红 ( 系 原 告 长 子 ) 委 托 代 理 人 江 如 平 ( 系 原 告 次 子 ) 被 告 李 启 富 被 告 龚 忠 吉 被 告 中 国 太 平 洋 财 产 保 险 股 份 有 限 公 司 重 庆 分 公 司 法 定 代 表 人 周 炯, 该 公 司 总 经 理 委 托 代 理 人

More information

Microsoft Word - RAP 050120 CHI.doc

Microsoft Word - RAP 050120 CHI.doc 利 用 世 行 贷 款 柳 州 市 环 境 治 理 工 程 移 民 安 置 计 划 柳 州 市 城 市 投 资 建 设 发 展 有 限 公 司 柳 州 市 环 境 卫 生 管 理 处 二 00 五 年 一 月 二 十 日 0 目 录 第 一 章 项 目 简 述...6 1.1 水 环 境 综 合 治 理 项 目...8 1.2 城 市 公 厕 项 目...12 1.3 垃 圾 转 运 站 建 设 项

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

合金投资年报正文.PDF

合金投资年报正文.PDF 1999 1 1999 2. 3. 4. 5. 2 1999 3 1999 (1) 4 1999 5 1999 6 1999 7 1999 8 1999 9 1999 10 1999 11 1999 12 1999 13 1999 14 1999 15 1999 16 1999 17 1999 18 1999 19 1999 20 1999 21 1999 22 1999 23 1999 24 1999

More information

从 宾 馆 到 又 一 城 是 十 五 分 钟, 从 又 一 城 到 邵 逸 夫 是 十 分 钟, 去 时 一 路 上 坡 很 辛 苦, 回 时 一 路 下 坡 很 轻 松, 很 像 上 小 学 时 的 心 情, 这 是 最 初 几 天 最 深 的 感 受 有 段 时 间 很 少 走 校 内 的 路

从 宾 馆 到 又 一 城 是 十 五 分 钟, 从 又 一 城 到 邵 逸 夫 是 十 分 钟, 去 时 一 路 上 坡 很 辛 苦, 回 时 一 路 下 坡 很 轻 松, 很 像 上 小 学 时 的 心 情, 这 是 最 初 几 天 最 深 的 感 受 有 段 时 间 很 少 走 校 内 的 路 那 城 那 校 那 景 香 港 访 学 印 象 刘 斌 时 间 过 得 真 快, 一 转 眼 从 香 港 回 来 一 个 多 月 了 前 两 天 走 在 路 上, 看 到 一 个 逆 行 的 车 剐 了 路 人, 双 方 在 路 边 吵 得 不 可 开 交, 突 然 想 起 有 些 地 方 的 交 规 与 大 陆 不 一 样, 这 车 在 香 港 就 算 是 正 常 行 驶 了 于 是, 香 港,

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

附 件 :2015 年 度 普 通 高 等 学 校 本 科 专 业 备 案 和 审 批 结 果 教 育 部 2016 年 2 月 16 日 抄 送 : 国 家 发 展 改 革 委 财 政 部 国 家 卫 生 计 生 委 国 家 中 医 药 管 理 局 部 内 发 送 : 有 关 部 领 导, 办 公

附 件 :2015 年 度 普 通 高 等 学 校 本 科 专 业 备 案 和 审 批 结 果 教 育 部 2016 年 2 月 16 日 抄 送 : 国 家 发 展 改 革 委 财 政 部 国 家 卫 生 计 生 委 国 家 中 医 药 管 理 局 部 内 发 送 : 有 关 部 领 导, 办 公 教 高 函 [2016]2 号 教 育 部 关 于 公 布 2015 年 度 普 通 高 等 学 校 本 科 专 业 备 案 和 审 批 结 果 的 通 知 各 省 自 治 区 直 辖 市 教 育 厅 ( 教 委 ), 新 疆 生 产 建 设 兵 团 教 育 局, 有 关 部 门 ( 单 位 ) 教 育 司 ( 局 ), 部 属 各 高 等 学 校 : 根 据 普 通 高 等 学 校 本 科 专 业

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

E507d0208a01

E507d0208a01 实验目的. 了解直流稳压电源的组成及各个组成部分的作用. 了解稳压二极管的稳压作用及并联稳压电路的工作原理 3. 了解采用集成稳压器构成固定式及电压可调式稳压电路的方法 网交流电路电变压压电路整波电实验原理 各种电子设备都需要由电压稳定的直流电源供电, 直流稳压电源分为线性电源和开关电源两种, 本实验的研究对象为线性稳压电源 线性稳压电源的组成如图 3.0a. 所示, 图中由 0V 工频交流电通过变压器变压为所需的交流电压,

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

3A充电2.4A放电高集成度移动电源SOC

3A充电2.4A放电高集成度移动电源SOC 最大输出 18W, 集成 Type-C PD 输出和各种快充输出协议 (DCP/QC2.0/QC3.0/FCP/AFC / USB PD) 的 SOC IC 1 特性 同步开关降压转换器 内置功率 MOS 输入工作电压范围 :4.5V 到 32V 输出电压范围 :3V 到 12V, 根据快充协议自动调整 输出功率 : 最大 18W(5V@3.1A,7V@2.4A, 9V@2A,12V@1.5A) 输出电压有线补功能,100mv/A

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

PSpice MOSFETs 文档 得克萨斯大学泰勒分校电气工程系 Department of Electrical Engineering University of Texas at Tyler 编译 : 陈拓 2010 年 12 月 12 日 原文作者 :David M. Beams, 25

PSpice MOSFETs 文档 得克萨斯大学泰勒分校电气工程系 Department of Electrical Engineering University of Texas at Tyler 编译 : 陈拓 2010 年 12 月 12 日 原文作者 :David M. Beams, 25 PSpice MOSFETs 文档 得克萨斯大学泰勒分校电气工程系 Department of Electrical Engineering University of Texas at Tyler 编译 : 陈拓 2010 年 12 月 12 日 原文作者 :David M. Beams, 25 October 2007, Tyler, TX 75799 下载网址 :http://ee.uttyler.edu/david_beams/projects/pspice%20archives/mosfets.zip

More information

第 期 房建成等 动态定位的强跟踪卡尔曼滤波研究

第 期 房建成等 动态定位的强跟踪卡尔曼滤波研究 第 卷第 期 年 月 东南大学学报 房建成万德钧吴秋平 东南大学仪器科学与工程系 南京 提出一种改进的强跟踪卡尔曼滤波算法 应用于 动态定位滤波中获得明显效果 首先采用描述机动载体运动的 当前 统计模型 建立了一种新的 动态定位扩展卡尔曼滤波模型及其自适应算法 然后 为了进一步提高滤波器的动态性能 改进了周东华等提出的强跟踪滤波器 大大提高了 动态定位扩展卡尔曼滤波器的跟踪能力 动态定位 卡尔曼滤波

More information

CO

CO 1 1... 2 1.1... 2 1.2... 3 1.3... 5 1.4 CO2... 9 1.5... 12 2... 13 2.1... 13 2.2... 14 2.3... 16 2.4... 18 2.5... 19 3... 21 3.1... 21 3.2... 23 3.3... 24 3.4... 28 3.5... 30 4... 31 4.1... 31 4.2... 31

More information

06知识单元-集成运算放大电路

06知识单元-集成运算放大电路 6 6 S060B a b c b c v 0 = v 0 = v 0 = v f v0 = v S060G v 0 v S060I V- = V + V N = V P I- =0I + = 0 I = 0 S060N V IO I IO v = 0 vo=0 A od VO = ( V V ) S060B A od I o = I I A od = r d = r od = - 46 - A od

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

凯莱东尼铁路应用同轴电缆

凯莱东尼铁路应用同轴电缆 凯莱东尼铁路应用同轴电缆 www.addison-cables.com 凯莱东尼铁路电缆 目录 目录 FRA 316 SW4 2 FRA 400 SW4 4 FRA 213D SW4 6 FRA 213 URM SW4 8 FRA 3002 SW4 10 FRA 59 SW4 12 FRA 179 SW4 14 COAXIAL CABLES 1 FRA 316 SW4 Ô Ô应用 该电缆适合铁路机车内外灵活安装

More information

应 仅 以 交 易 或 者 事 项 的 法 律 形 式 为 依 据 归 纳 体 现 实 质 重 于 形 式 会 计 信 息 质 量 要 求 的 有? (1) 融 资 租 入 固 定 资 产 视 同 自 有 固 定 资 产 (2) 长 期 股 权 投 资 后 续 计 量 成 本 法 与 权 益 法 的

应 仅 以 交 易 或 者 事 项 的 法 律 形 式 为 依 据 归 纳 体 现 实 质 重 于 形 式 会 计 信 息 质 量 要 求 的 有? (1) 融 资 租 入 固 定 资 产 视 同 自 有 固 定 资 产 (2) 长 期 股 权 投 资 后 续 计 量 成 本 法 与 权 益 法 的 2014 年 注 会 会 计 讲 义 ( 第 1 16 章 ) 郭 建 华 第 一 章 总 论 考 情 分 析 本 章 考 试 集 中 在 客 观 性 题 目 中, 本 章 主 要 考 查 会 计 信 息 质 量 要 求 会 计 要 素 结 合 有 关 章 节 的 选 择 题 考 点 一 : 会 计 信 息 质 量 要 求 根 据 基 本 准 则 规 定, 它 包 括 可 靠 性 相 关 性 可 理

More information

Ps22Pdf

Ps22Pdf 1 1 3 3 6 7 7 8 9 10 10 12 ( VSR) 16 19 19 21 22 22 22 23 23 23 23 23 24 27 27 28 29 29 30 31 31 32 32 32 33 34 41 41 42 44 44 44 47 48 48 48 49 50 51 52 52 54 54 58 58 59 60 61 61 62 63 64 64 64 65 65

More information

USB-Compatible Lithium-Ion Battery Charger with Thermal Regulation

USB-Compatible Lithium-Ion Battery Charger with Thermal Regulation 如韵电子 CONSONANCE 低功耗四通道电压监测集成电路 CN1185 概述 : CN1185 是一款低功耗四通道电压监测芯片, 其消耗的电流只有 7.3 微安, 非常适合监测电池电压 芯片内部包含四个电压比较器, 每个比较器的正输入端接到芯片内部的电压基准源, 可以用来监测 4 个不同的电压源或者对同一个电压源进行分级监测 用户可以通过逻辑输入端口选择比较器的翻转阈值和比较器迟滞 比较器迟滞的存在消除了由于被监测电源的噪声或者干扰而带来的比较器输出不稳定的问题

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

麻省理工学院

麻省理工学院 麻省理工学院电气工程与计算机科学系 6.002 电子线路 2000 秋季 实验 4 音频回放系统 讲义 F00-058 概述 : 该实验中, 同学将搭建, 测试并演示在作业 11 中设计的音频回放系统 与以前的实验相同, 将两人一组进行实验操作 实验由两部分组成 : 课前预习与实验操作 ; 没有课后任务 在实验前, 每个同学应该在实验报告中完成课前预习 在 11 月 30 日至 12 月 8 日之间进行实验操作

More information

chap07.key

chap07.key #include void two(); void three(); int main() printf("i'm in main.\n"); two(); return 0; void two() printf("i'm in two.\n"); three(); void three() printf("i'm in three.\n"); void, int 标识符逗号分隔,

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

一、概述

一、概述 (HYC26 2 1KV RS232 RS485 MODEM SCADA 2.1 HYC26 2.2 (A/D 2.3 2.4 2.5 2.6 45 55Hz 2.7 2.8 5 2.9 2.1 2.11 MODEM( 3. 1 +24V 3 1V 22V 22V PT 5A 5Hz 3. 2 +24V +12V 7AH 3. 3 ( 5W 7W.5VA/.75VA/ 3. 4 1.2Un 1.2In

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

电院 2018 年硕士夏令营暨自主招生复试成绩公示 (7 月 ) 各位考生, 下面表中是参加电院 2018 年硕士夏令营暨自主招生的复试成绩公示名单, 具体拟录取情况要等 9 月中旬才能得知 名单中的考生如有放弃或没有取得本科推免资格的, 请及时邮件通知马老师

电院 2018 年硕士夏令营暨自主招生复试成绩公示 (7 月 ) 各位考生, 下面表中是参加电院 2018 年硕士夏令营暨自主招生的复试成绩公示名单, 具体拟录取情况要等 9 月中旬才能得知 名单中的考生如有放弃或没有取得本科推免资格的, 请及时邮件通知马老师 电院 2018 年硕士夏令营暨自主招生复试成绩公示 (7 月 ) 各位考生, 下面表中是参加电院 2018 年硕士夏令营暨自主招生的复试成绩公示名单, 具体拟录取情况要等 9 月中旬才能得知 名单中的考生如有放弃或没有取得本科推免资格的, 请及时邮件通知马老师 (jinglema@sjtu.edu.cn) 谢谢! 电气工程系 2018 年硕士夏令营暨自主招生复试成绩汇总表 (7 月 ) 身份证号码

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

课程简介

课程简介 3.4 三种组态放大器的中频特性 放大电路的交流小信号分析是分频段进行 ; 中频段 : 电路电容不起作用, 晶体管用低频小信号模型 ; 低频段 : 电路电容起作用, 晶体管用低频小信号模型 ; 高频段 : 主要考虑 PN 结电容, 晶体管用高频小信号模型 ; 标志放大电路的增益和阻抗特性的分析是在中频段 进行, 不随频率变化, 反映带通特性 ; 1 分析的对象和内容 1. 单级共射放大电路 对象 :BJT

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

Microsoft PowerPoint - 封面0820.pptx

Microsoft PowerPoint - 封面0820.pptx EEC ECTR CTRO OI C IA AT EP 000W 用户手册 0 上海有有限公司版权所有 E800 PWM 芯片 E00 驱动板配套功率板 REV.0 0.05.05 FR07 07 FR07 06 FR07 08 FR07 05 E800 PWM 芯片 (E00 驱动板 ) 配套功率板 EP000W 逆变器功率板用户手册 版本更新 : V.0: 正式发行初版 (0-05-04). 描述

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

实验二集成运算放大器的基本应用 (I) 模拟运算电路 一 实验目的 1 研究由集成运算放大器组成的比例 加法 减法和积分等基本运算电路的功能 2 了解运算放大器在实际应用时应考虑的一些问题 二 实验仪器与器件 1 示波器; 2 毫伏表; 3 函数信号发生器 ; 4 万用表; 5 直流稳压电源; 6

实验二集成运算放大器的基本应用 (I) 模拟运算电路 一 实验目的 1 研究由集成运算放大器组成的比例 加法 减法和积分等基本运算电路的功能 2 了解运算放大器在实际应用时应考虑的一些问题 二 实验仪器与器件 1 示波器; 2 毫伏表; 3 函数信号发生器 ; 4 万用表; 5 直流稳压电源; 6 深圳大学实验报告 课程名称 : 模拟电子技术 实验项目名称 : 模拟运算电路 ( 实验二 ) 学院 : 光电工程学院 专业 : 光电信息工程 授课教师 : 张敏 实验指导教师 : 报告人 : 学号 : 实验时间 : 实验报告提交时间 : 教务处制 实验二集成运算放大器的基本应用 (I) 模拟运算电路 一 实验目的 1 研究由集成运算放大器组成的比例 加法 减法和积分等基本运算电路的功能 2 了解运算放大器在实际应用时应考虑的一些问题

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

:,,, :,, ; (, : ) :, ;,,,, ;, ; ;,,, -,,. %, %,,. %, ;. % ;. % (, : ),,, :,,,, (, : ),,,, -,, (, : ), -,,,,,,,,, - (, : ),,,,,,,

:,,, :,, ; (, : ) :, ;,,,, ;, ; ;,,, -,,. %, %,,. %, ;. % ;. % (, : ),,, :,,,, (, : ),,,, -,, (, : ), -,,,,,,,,, - (, : ),,,,,,, 吴亦明 : '. ',,, -,,, -,., -..., -. - -.,, ( ),,,,,,,,,,,,,,,, :,,, :,, ; (, : ) :, ;,,,, ;, ; ;,,, -,,. %, %,,. %, ;. % ;. % (, : ),,, :,,,, (, : ),,,, -,, (, : ), -,,,,,,,,, - (, : ),,,,,,, ,,,,,,,,,,,,,.

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

<4D F736F F D20464D A3A8B5A5BDDAEFAEB5E7B3D8B1A3BBA44943A3A9>

<4D F736F F D20464D A3A8B5A5BDDAEFAEB5E7B3D8B1A3BBA44943A3A9> 概述 FM2113 内置高精度电压检测电路和延迟电路, 是用于单节锂离子 / 锂聚合物可再充电电池的保护 IC 此 IC 适合于对单节锂离子 / 锂聚合物可再充电电池的过充电 过放电和过电流进行保护 特点 高精度电压检测电路 各延迟时间由内部电路设置 ( 无需外接电容 ) 有过放自恢复功能 工作电流 : 典型值 3uA, 最大值 6.0uA(VDD=3.9V) 连接充电器的端子采用高耐压设计 (CS

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information