本课程的作用 数据结构 算法设计 组成原理 操作系统 编译原理 体系结构 该课程为今后学习操作系统 编译原理 计算机体系结构 计算机网络 计算机容错技术 并行计算 分布式系统等课程打好基础

Size: px
Start display at page:

Download "本课程的作用 数据结构 算法设计 组成原理 操作系统 编译原理 体系结构 该课程为今后学习操作系统 编译原理 计算机体系结构 计算机网络 计算机容错技术 并行计算 分布式系统等课程打好基础"

Transcription

1 计算机组成原理 第一章概论 李曦

2 本课程的作用 数据结构 算法设计 组成原理 操作系统 编译原理 体系结构 该课程为今后学习操作系统 编译原理 计算机体系结构 计算机网络 计算机容错技术 并行计算 分布式系统等课程打好基础

3 教学目的 硬件系列课程之一 计算机组成原理 对计算机系统的基本组成结构和工作机制有比较透彻的理解 微型计算机原理 突出应用, 详细讲述微处理器芯片 主板 接口技术和应用编程方法 计算机体系结构 重点论述计算机系统的各种基本结构 设计优化技术和性能定量分析方法 本课程的目标 深入理解计算机系统计算机系统的硬件硬件组成和工作原理 系统构成 总线 存储器 I/O 系统等 深入理解处理器处理器的内部结构和工作原理 对计算机系统当前的发展动向和水平有明确的概念

4 计算机系统 涉及的问题 计算机科学永恒的问题 : 如何设计出更好的计算机, 如何利用好现有的计算机

5 课程内容 外围部件结构 系统总线 ( 总线性能 总线结构 总线控制 ) 存储器 ( 主存储器 高速缓存 辅助存储器 ) 输入输出系统 ( 外设 I/O 接口 I/O 控制方式 ) 中央处理器 (CPU) 计算机的运算方法 指令系统 CPU 的结构 控制单元设计 主机 主存 CPU ALU 控制单元 外设

6 课程内容

7 教材 Textbook: 唐朔飞, 计算机组成原理 高等教育出版社,2000 Reference: Patterson and Hennessy, Computer Organization and Design: The Hardware/Software Interface, 2nd Edition, cs

8 成绩考核 期末考试 :55%( 闭卷 ) 作业 :30%, 不能抄袭 不能补交! 课堂练习 :5% 课外实践 :10% 编程实践 : 仿真 调查报告 :(2~3 篇 ) 理解与综合能力, 不能抄袭, 要有参考文献 资料来源 : 参考书 Internet 教师 主讲 : 李曦 (llxx@ustc.edu.cn) 助教 : 刘治国等

9 本章教学内容 计算机系统概述 计算机软硬件 计算机系统的层次结构 计算机组成 VS. 计算机体系结构 计算机的基本组成 Von Neumann 机的特征 计算机的硬件构成 计算机的工作过程 计算机硬件的性能指标 关键问题与发展趋势

10 能行计算理论 计算 : 是一种过程 算法 : 对计算过程的步骤或状态的一种刻画, 是计算方法的一种实现方式 可计算性 : 判断一类数学问题是否机械可解 计算模型 : 刻画 计算 这一概念的抽象的形式化系统或数学系统 具有状态转换特征, 能够对所处理的对象的数据或信息进行表示 加工 变换 输出的数学机器 递归函数 λ 演算 图灵机等

11 关于计算模型的两个重要原理 相似性原理 计算复杂性是否与计算模型有关? 不同计算模型解决同一类问题所需资源是否相同? 相似性原理 : 所有计算模型的计算能力等同 所有合理的 功能足够强大的计算模型可以相互模拟计算, 且使用的本质相同的并行计算时间 串行计算时间和空间 丘奇 - 图灵论题 : 可计算性等价于图灵机可计算性 对偶性原理 在并行计算模型上, 计算的时间与空间可以互换

12 图灵机模型 为存储程序式电子计算机提供了重要的设计思想 结构 一条两端可以无限延伸的纸带 ( 其上有无穷多可擦写的小格 ); 一个读写头 ( 符号包括 0 1 b); 一个控制器 ( 执行控制读写头工作的命令 ) 五元组 :( 状态 符号 ) ( 写符号 移动 状态 ) 状态集 : 含一个开始状态, 一个结束状态 控制器 当进入结束状态时, 图灵机停机, 纸带上的内容为输出结果 控制命令 : q 1 01Rq 1 q 1 10Rq 1 q 1 bbrq 2 q 2 bblq 3 q 2 00Hq 1 q 2 11Hq 1

13 Von Neumann 机的特征 计算机构成 由五个部分构成 : 运算器 控制器 存储器 输入设备 输出设备 指令和数据存储方式 以同等地位 存放于存储器内, 分别按地址访问 指令和数据表示形式 均用二进制码表示 指令构成 由操作码和地址码构成 指令执行 按顺序存放, 顺序执行 数据传输 机器以运算器为中心

14 Von Neumann 机的组织结构 存储器 输入设备 运算器 输出设备 控制器 实线 : 数据流虚线 : 控制流

15 现代计算机的组织结构 运算器 输入设备 存储器 输出设备 控制器 主机 主存 CPU ALU 外设 控制单元

16 Harvard architecture data memory program memory address data address data CPU PC IR

17 关于微处理器的第一个专利 (1958 年 )

18 TI 的第一个微处理器体系结构专利

19 细化的计算机系统组织 控制信号 MAR X ALU CU PC 存储体 I/O MQ IR +1 MDR ACC 运算器控制器主存

20 CPU 功能 算术逻辑运算 指令译码 执行 数据暂存 与 MEM I/O 交换数据 提供整个系统所需的定时和控制 响应中断请求 主机 主存 CPU ALU 外设 控制单元

21 示例 指令 指令格式 指令字长 16 位 : 操作码 6 位, 地址码 10 位 例 : 指令操作码 load(000001):[m]->acc store(000010):acc->m add(000011):acc+[m]->acc mul(000100):acc*[m]->acc print(000101): 打印 [M] hlt(000110): 停机

22 指令的执行过程 取指 根据 PC 访存读取当前要执行的指令 PC+1 译码 识别指令字中的操作类型, 产生相应的控制信号 取操作数 根据指令字的地址域访存 执行 写回

23 计算机的工作过程 执行每一条指令, 都包括取指 译码和执行三个基本步骤, 所以, 计算机的工作过程, 也就是不断地取指令 译码和执行的过程, 直到遇到停机指令 取指令, PC 值加 1 译码停机? 执行 N Y 结束

24 存储器的组织 存储单元按字节或字寻址 程序和数据顺序存放 数据段 代码段 读写操作以数据总线宽度数据总线宽度为单位 CPU 地址 数据 读写控制 1KB 存储器 地址存储内容 低端 高端

25 示例 ax 2 +bx+c (ax+b)x+c x->acc x*a->acc ax+b->acc x*(ax+b)->acc (ax+b)x+c->acc 地址 op opr (ld) (mul) (add) (mul) x 1001 a 1010 b 1011 c 1100

26 计算机的解题过程 用户采用程序设计语言描述问题的求解过程, 计算机在程序的控制下完成问题的求解 计算机只能识别用 0/1 代码表示的程序 用户需要使用高级语言编程 问题 高级语言源程序 机器语言目标代码 实际机器执行 结果

27 程序设计语言 机器语言 由 0/1 代码表示机器能完成的各种操作 ( 指令 ) 例 : MCS-51 两个寄存器相加的机器语言指令 两个寄存器相加的机器语言指令 : 依赖于机器 ( 处理器指令集 ), 难于理解 汇编语言 用便于书写和记忆的符号表示机器的各种操作 ADD SUB MUL DIV JMP CMP 等 例 :MCS-51 两个寄存器相加汇编语言指令 :ADD A,R0 依赖于机器 高级语言程序 描述方式适合人类的习惯 与平台无关性

28 高级语言的执行方式 需要将高级语言程序转换成机器语言程序, 才能在实际机器上执行 编译执行 : 利用编译器一次性将高级语言程序翻译成机器语言程序 C FORTRAN 解释执行 : 语句翻译一条, 执行一条, 直至结束 BASIC JavaScript

29 计算机系统组成计算机系统 机 ( ) RAM ROM (I/O ) (PIO SIO CTC ADC DAC ) (AB DB CB) ( ) ( 机 ) ( ) (CPU) 算 系统 系统 计算 计 机

30 计算机系统组成 硬件系统

31 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽内存条 IDE CPU 硬盘接口插槽插槽 华硕P4S533 P4S533-MX MX 主板内存条板

32 两个概念 层次化结构化分析方法 从框架结构到具体实现细节 逐步求精 的分析设计方法 虚拟机 系统的一种抽象视图

33 计算机系统的层次结构 虚拟机 M3 ( 高级语言 ) 虚拟机 M2 ( 汇编语言 ) 虚拟机 M2 ( 汇编语言 ) 实际机器 M1 ( 机器语言 ) 实际机器 M1 ( 机器语言 ) 实际机器 M1 ( 机器语言 )

34 计算机系统的层次结构 虚拟机 M4 ( 高级语言 ) 虚拟机 M3 ( 高级语言 ) 虚拟机 M3 ( 高级语言 ) 虚拟机 M3 ( 汇编语言 ) 虚拟机 M2 ( 汇编语言 ) 虚拟机 M2 ( 汇编语言 ) 虚拟机 M2 ( 操作系统 ) 实际机器 M1 ( 机器语言 ) 传统机器 M1 ( 机器语言 ) 传统机器 M1 ( 机器语言 ) 微程序机器 M1 ( 微指令 ) 微程序机器 M0 ( 微指令 )

35 计算机组成 VS. 计算机体系结构 计算机体系结构 (Computer architecture) 程序员可见的机器属性 特指机器语言程序员 属性包含结构特征和功能特征, 如数据表示 寻址规则 寄存器定义 指令集 存储系统 I/O 结构等 计算机组织 (Computer organization & implementation ) 计算机体系结构的逻辑实现 包括实际机器的数据流和控制流的组成, 以及逻辑设计等 它着眼于物理机器内部各事件的排序方式与控制方式, 各部件的功能, 以及各部件的联系

36 计算机组成 VS. 计算机体系结构 Software Hardware Application (Netscape) Compiler Assembler Memory Operating System (Windows 2K) Processor I/O system Datapath & Control Digital Design Circuit Design Layout Instruction Set Architecture(ISA)

37 计算机组成 VS. 计算机体系结构 例一 : 对于 IBM PC 与 RS6000 两种机器 对于高级语言程序员来说, 可以看成是同一属性的机器 对于汇编语言程序员来说, 是截然不同的两种机器 因为其指令集 数据类型数据类型 寻址技术等都完全不同寻址技术等都完全不同 因此, 这两种机器的体系结构是各不相同的 例二 : 一台机器是否具备乘法指令的功能, 这是一个计算机体系结构的问题 ; 但是, 实现这个乘法指令采用什么方式来实现, 比如, 用专门的乘法电路或连续相加的加法电路来实现, 这属于计算机组成的问题

38 计算机体系结构分类 按指令集特征 复杂指令集 (CISC) 精简指令集 (RISC) 超标量 (Superscalar) 超流水线 (Superpipeline) 超长指令字 (VLIW) 按并行执行特征 单指令流单数据流 (SISD) 单指令流多数据流 (SIMD) 多指令流单数据流 (MISD) 多指令流多数据流 (MIMD)

39 Hot Topics in Computer Architecture 1950s and 1960s: Computer Arithmetic 1970 and 1980s: Instruction Set Design ISA Appropriate for Compilers 1990s: Design of CPU, memory, and I/O system Multiprocessors Instruction Set Extensions 2000s: SOC design Specialized application processors

40 计算机硬件硬件的性能指标 机器字长 CPU 一次能处理数据的位数 半字节字节 寄存器 ALU 总线 存储器等 F 字 字长越长, 数的表示范围越大, 精度越高 4 位 8 位 16 位 32 位 64 位 1F F 87 0 双字 存储容量 存储器可存储的二进制数据总数 低端 容量 = 存储单元个数 存储字长 MAR=16 位, 则有 64K 个存储单元 ;MDR=32 位, 则共可存储 64K 32=2Mb 高端

41 计算机硬件硬件的性能指标 运算速度 依赖于机器的主频 操作类型 存储器访问时间等 主频 : 时钟频率 (Hz), 微处理器的运行速度 2001 年 Intel 推出 P4, 主频是 I486 的 15 倍, 性能才提高 5 倍 MIPS: 每秒能执行多少百万条指令 由于执行不同类型的指令所需时间长度不同, 所以 MIPS 通常是根据不同指令出现的频度乘上不同的系数求得的统计平均值 FLOPS: 每秒钟浮点运算次数 可靠性 平均无故障运行时间 MTBF(Mean Time Between Failures), 平均无故障间隔时间 性能 / 价格比

42 示例 Intel 微处理器性能

43 系统性能评价对象 硬件系统 价格 服务服务 应用软件应用软件 质量质量 功能功能 升级能力升级能力 性能性能 功耗 桌面系统性能评价 CPU 主频 内存大小内存大小 内存总线带宽内存总线带宽 I/O 总线性能 图形处理 工作站 服务器 网络系统 操作系统 应用系统 事务处理 Web

44 性能 定点性能 浮点性能 图形图像处理性能 Web 服务性能 数据处理性能 科学与工程计算性能 系统软件性能

45 指标 执行时间 (CPU 时间 Elapsed Time) 峰值速度 (Peak Performance) 负载 (load) 开销 (Overhead) 利用率 (Utilization Ratio) 饱和性能 (Saturate Performance) 带宽 (Bandwidth) 延迟 (Latency) 吞吐率 (Throughput) 加速比 (Speedup) 效率 (Efficiency)

46 性能评测的常用方法 时钟频率 体系结构不同, 难于比较 指令执行速度 (MIPS ) 比较加法指令的运算速度 等效指令速度 ( 吉普森 (Gibson) 混合法 ) 以程序中各种指令的比例为权重, 加权平均 数据处理速率 PDR(processing data rate) 法 每条指令平均位数 每个操作数的平均位数 每条指令平均运算速度 基准程序法 (benchmark) 考虑 CPU I/O 结构 操作系统 编译器效率等 评价计算机的实际工作能力

47 基准测试套 Dhrystone 整数测试程序 一个综合性的基准测试程序 适于比较同一家族的机器 Linpack 测试向量性能和高速缓存性能 Whetstone 一个综合性测试程序 测试浮点操作 整数计算和功能调用等性能 SPEC System Performance Evaluation Cooperative TPC(Transaction Processing Council) 计算机 ( 服务器 ) 事务处理性能

48 测试程序 SPEC2000 系列 Lmbench SGI 开发, 测试操作系统性能 空系统调用时间, 进程切换时间,pipe UDP TCP RPC 的延迟和带宽, 内存 Cache TLB 的读写性能, 存储映射的性能 Webstone SGI 在 1995 年开发, 评测系统在不同环境 不同负载模式下的 Web 服务性能 系统吞吐量 (MB/s) 延迟 ( 完成一个页面请求的时间 ) 每分钟传送的页面数 平均连接率 失效率 Netperf 评测计算机系统的网络性能, 也可用来评测 DLPI(Data Link Provider Interface),Unix Domain Socket 的性能 TCP UDP 的带宽和请求应答数

49 测试程序 ( 续 ) SPECsfs97 评测系统的 NFS 性能 采用客户机 / 服务器模式, 客户机向服务器发送特定的 NFS 请求, 得到 NFS 文件服务器的吞吐量和响应时间 SPECjvm98 使用 8 个应用来评测 JAVA 虚拟机的性能 SPLASH Stanford 大学开发, 评测共享存储系统性能 pcw2008_v186.exe PC

50 PowerPC G5: 世界上最快的处理器 根据 CPU 2000 基准测试结果 比较对象 配备 3 GHz Pentium 4 处理器的 Dell Dimension 8300 配备 3.06 GHz 双 Xeon 处理器的 Dell Precision 650

51 第一台现代意义的电子计算机 ENIAC,1946 年美国宾夕法尼亚大学, 运算速度 5000 次 / 秒, 功耗 150kw/h, 占地 170m 2, 造价 100 万美元

52 电子计算机的发展 ( 五代 ) 电子管计算机 (Vacuum Tubes) : 磁鼓存储器, 机器语言 汇编语言编程 ENIAC 晶体管计算机 (Transistors) : 磁芯作主存储器, 磁盘作外存储器, 开始使用高级语言编程 IBM 700/7000 系列,50 万次 /s 集成电路计算机 : 使用半导体存储器, 出现多终端计算机和计算机网络 IBM 360 系列, 百万次 /s 大规模集成电路计算机 : 出现微型计算机 单片微型计算机, 外部设备多样化 人工智能计算机 : 模拟人的智能和交流方式

53 电子计算机分类

54 千万亿次超级计算机

55 Dependence on Application Area Desktop High Performance Integer and floating point programs Servers High Performance Integer and character strings Embedded systems Code size Realtime performance on continuous data streams Hand optimized kernels

56 微型计算机的典型应用 信息技术 : 微电子是基础, 计算机 通信 网络是载体, 软件是核心 数值计算 数据管理 信息处理 通用微机 要求功能强 使用方便 过程控制 智能化仪器仪表 数据通讯 专用微机 : 单片机 (MCS-51 等 ) 单板机 (Z80) 工控机 要求可靠性高 实时性强 应用程序相对简单 数据处理量较小

57 两个著名定律 Computing power and miniaturization Moore s Law(1965, Gordon Moore): Processor speed and storage capacity double every 18 months 18 个月左右 CPU 性能翻一番, 价格减一半 Communication Gilder s Law(1996?): Bandwidth grows three times faster than computing power and storage capacity

58 摩尔定律

59 Edholm 通信定律 59/87

60 Memory Impact of Performance : Speed = ƒ(no. operations) 1990 Pipelined Execution & 100 Fast Clock Rate Out-of-Order execution 1000 Superscalar Instruction Issue1 1998: Speed = ƒ(non-cached memory accesses) Superscalar, Out-of-Order machines hide L1 data cache miss (-5 clocks) but not L2 cache miss (-50 clocks)? DRAM 2000 CPU

61 现代嵌入式系统的应用 新一代智能设备 掌上电脑 车载智能设备 笔记本计算机 手表 智能卡 智能手机 机顶盒 POS 销售机 屏幕电话 ( 除了普通话机的功能还可以浏览因特网 ) 美国福特公司的高级经理曾宣称 : 福特出售的 ' 计算能力 ' 已超过了 IBM

62 Innovation trend in future applications

63 任天堂可视电话游戏机

64 The third wave in computing

65 普适计算 (ubiquitous computing) 由 Mark Weiser( ,Xerox) 在 1988 年提出 An integration of human factors, computer science, engineering, and social sciences The computer for the 21st century ( 被引 5260 次,1991) IBM 在 1999 年创造了一个名词, 即 pervasive computing( 普及计算 ) Any Content Any Place Any Device Any Time

66 Personal Server

67 Washable Computing

68 Power Constraint High-end systems, e.g. servers, stations and desktops Cooling and packaging cost Reliability requires every 10 increase on operating temperature double failure rate for the components battery-powered systems e.g. laptop, cell phone and PDA Energy is a critical non-functional constraint in mobile and portable systems 系统评价指标 Figure of Merit = ( Intelligence) ( size) ( cost) ( power)

69 Hard Disk (capacity) Processor (MIPS) Memory (capacity) Battery (energy stored) 16x 14x 12x 10x 8x 6x 4x 2x 1x J. Rabaey, BWRC Time (years) Improvement (compared to year 0)

70 处理器的功耗

71 完成不同计算任务所需的功耗

72 Power and Energy Circuit level view dynamic (transistor switching), short circuit, leakage current dyn sc lk L 2 DD P = P + P + P = 0.5C V Af + I V A + I V VDD: supply voltage; Dynamic power is the dominant source now. Static power is growing faster Higher MIPS/Watt is the innovation trend Architecture level view Memory System Power, Bus Power,Datapath Power, etc Energy=power * time sc DD lk DD CL: node capacitance; f: clock frequency; A: activity factor; Isc:Short circuit current ; Ilk: leakage current

73 New processors will provide higher MIPS/Watt

74 功耗

75 New processors will provide higher MIPS/Watt

76 系统级低功耗研究 (System-level Low-power) System-level Power-aware Architecture OS Compiler 研究内容 功耗模型 (power modeling) 功耗评估技术 (power estimation) 功耗优化 (power optimization) Synopsys 资料

77 节能技术分布于系统各处

78 Cooking-aware computing Some chips rated for 100 C+ 78/62

79 Intel Pentium 4 packaging Simpler, but still Source: Intel web site llxx@ustc.edu.cn 79/62

80 Packaging cost To today Grid computing: power plants co-located near compute farms IBM S/390: refrigeration Source: R. R. Schmidt, B. D. Notohardjono High-end server low temperature cooling IBM Journal of R&D 80/62

81 Temporal, Spatial Variations Temperature variation of SPEC applu over time Hot spots increase cooling costs must cool for hot spot 81/62

82 并行计算 (Parallel Computing) 计算形式 串行计算 : 在单个计算机 ( 具有单个中央处理单元 ) 上执行计算任务 并行计算 : 同时使用多种计算资源解决计算问题的过程 时间上的并行 : 流水线技术 空间上的并行 : 多个处理器技术 类型 同时性并行 (Simultaneity) 两个或两个以上事件在同一时刻发生 并发性并行 (Concurrency) 两个或两个以上事件在同一时间间隔内发生 约束?

83 形式 指令级并行 (ILP) 处理器级 并行向量处理机 (PVP,Parallel Vector Processor) 对称多处理机 (SMP,Symmetric Multiprocessor) 大规模并行处理机 (MPP,Massively Parallel Processor) 单芯片多处理器 (CMP) 多线程技术 工作站级 工作站机群 (COW, Cluster) 操作系统 进程 / 线程 / 任务 分布式计算 利用互联网上的计算机的中央处理器的闲置处理能力来解决大型计算问题的一种计算科学 网格计算

84

85 十亿晶体管时代到来 IC 制造工艺 通用微处理器的主频已经突破了 4GHz 数据宽度达到 64 位 英特尔推出 32nm 正式量产工艺 芯片上集成的晶体管数目超过 10 亿个 如何利用数目众多的晶体管? SOC(SYSTEM-ON-CHIP) 多核 在一个芯片上集成多个简单的处理器核 利用这些晶体管资源 发挥其最大的能效

86 SOC

87 多核处理器 单芯片多处理器 (CMP) 两个或多个独立运行的内核集成于同一个处理器上面 每个微处理器核心是一个相对简单的单线程微处理器或者比较简单的多线程微处理器 多个微处理器核心就可以并行地执行程序代码 具有了较高的线程级并行性 Core0 Core1 Front Side Bus

88 多核技术的优势

89 通过并行方式改进处理器的性能 1995 Multi-processing 2001 Hyper-Threading Dual- and Multi-core 2-way SMP system 2 Cores 2 Threads 2-way SMP system 2 Cores 4 Threads 2-way SMP system 4 Cores >4 Threads

90 多核技术的发展 Pentium 4 with HT Dual Xeon Processors Dual Core Two independent execution cores in the same processor State State State State State State Execution Execution Execution Execution Execution Cache Cache Cache Cache Cache Bus Bus Bus Bus Bus 2 Threads 1 Package 2 Threads 2 Packages 2 Threads 1 Package

91 多核处理器应用 2006 年突然推出双核, 年底到四核 2006 年 Q4 英特尔内部已有 16 核处理器 2007 年 1 月 10 日英特尔已经展示了 8 核计算机 市场对多核反应冷淡 技术准备不足 多处理器技术长期以来针对服务区 并行计算技术针对科学计算 实际难点多 应用软件, 事务处理如何使用多核? 系统软件对多核的支持不足 软件开发对多核支持不足 机会与挑战并存

92 小结 计算机系统由软件和硬件组成 计算机系统存在层次化结构 计算机组成 vs. 计算机体系结构 Von Neumann 机 计算机硬件系统由五大部件组成 信息以二进制表示 指令由 op 和 addr 构成 存储程序控制顺序执行 指令的执行过程 硬件系统技术指标 主频与计算性能的关系

93 作业 作业 P21: ( 可选 ) 10 读书报告 ( 选 1) 综述计算机技术的发展历程及热点问题 阅读 Patterson 的第 1 2 章 Mark Weiser, The computer for the 21st century, 1991 多核技术 功耗 - 温度问题 C 语言计算机模型? 计算机的开机过程? 计算机性能评估 跑一个 Benchmark, 给出结果 主频与计算性能的关系 Rmax Rpeak

94 计算机发展趋势 微型化 便携式 低功耗 巨型化 尖端科技领域的信息处理 尖端科技领域的信息处理, 需要超大容量 高速度 智能化 模拟人类大脑思维和交流方式 模拟人类大脑思维和交流方式, 多种处理能力 系列化 标准化 便于各种计算机硬 级 便于各种计算机硬 软件兼容和升 网络化 网络计算机和信息高速公路 多机系统 大型设备 故障分散 资源共享 ) 大型设备 生产流水线集中管理 ( 独立控制

95 摩尔定律

96 示例 程序的执行过程 计算 1+2=? 汇编语言程序对应的机器指令对应的操作 MOV AL, 将立即数 1 传送到累加寄存器 AL 中 ADD AL, 计算两个数的和, 结果存放到 AL 中 MOV [0008], AL 将 AL 中的数传送到地址单元 HLT 停机

97 电子计算机分类 巨型机 功能极其强大, 速度达上万亿次 典型产品有 : Cray-1 Cray-2 Cray-3 国产银河 I 银河 II 银河 III 等 全球高性能计算机 500 强排行榜 : 中国高性能计算机 100 强排行榜 :

98 示例 操作过程 寄存器使用规则 ACC: 操作数之一和结果 MQ: 操作数之一和结果 X: 操作数之一 加法 [M]->X; ACC+[X]->ACC 减法 [M]->X; ACC-[X]->ACC 乘法 [M]->MQ; ACC->X; 0->ACC; [X]*MQ->ACC//MQ 除法 [M]->X; ACC/[X]->MQ; 余数在 ACC 中

99 程序的执行过程

100 现代计算机系统设计的抽象层次 应用问题 算法 + 数据结构 软件 硬件 语言 指令集体系结构 ( 以及 I/O 接口 ) 微体系结构 逻辑和集成电路设计 器件 ( 晶体管 / 集成电路工艺学 )

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

计算机内部组成 :how computers work 硬盘硬盘硬盘硬盘硬盘硬盘硬盘硬盘电源电源电源电源电源电源电源电源导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆软驱软驱软驱软驱软驱软驱软驱软驱内存内存内存内存内存内存内存内存微处理器微处理器微处理器微处理器微处

计算机内部组成 :how computers work 硬盘硬盘硬盘硬盘硬盘硬盘硬盘硬盘电源电源电源电源电源电源电源电源导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆软驱软驱软驱软驱软驱软驱软驱软驱内存内存内存内存内存内存内存内存微处理器微处理器微处理器微处理器微处 计算机组成原理 第一章概论 李曦 llxx@ustc.edu.cn 计算机内部组成 :how computers work 硬盘硬盘硬盘硬盘硬盘硬盘硬盘硬盘电源电源电源电源电源电源电源电源导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆导线和电缆软驱软驱软驱软驱软驱软驱软驱软驱内存内存内存内存内存内存内存内存微处理器微处理器微处理器微处理器微处理器微处理器微处理器微处理器 (CPU

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

L1 computer system overview

L1 computer system overview Computer Architecture and Organization Department of Computer Science and Technology Nanjing University Fall 2013 Instructor: Shuai Wang Course Goal In depth understanding of modern computer architecture,

More information

Intel® Core2™ i7 Processor

Intel® Core2™ i7 Processor Intel CPU 的 演 進 及 Core2 i7/i5/i3 處 理 器 架 構 之 探 討 報 告 人 : 資 訊 工 程 系 俞 朝 福 中 華 民 國 九 十 九 年 三 月 三 十 一 日 1 PART I Intel 處 理 器 的 演 進 1971~2010 走 過 處 理 器 40 年 2 Intel CPU Pre-x86 4004-- 全 球 第 一 款 微 處 理 器, 於

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU

CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU --- CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU 2.1 CPU 1. 4 Intel 4004 1971 Intel 4004 2-1 2-1 Intel 4004 2. 8 Intel 8008/8080/8085 1972 Intel 8008 2-2 2-2 Intel

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

BPR JIT

BPR JIT 1. ERP POS. 1) POS 2) POS 3) 1 4) 5) 6) 7) 8) 9) 10) 11) 12) 13) 14 POS 1.3 2. 2001 5 2001 5 30 2001 6 20 2001 7 20 2001 7 25 2001 8 25 21 2001 9 25 2001 10 25 2001 11 1 2002 7 3. 1 BPR 2 3 4 5 JIT 4.

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

投影片 1

投影片 1 9 1 9-1 Windows XP Windows Server 2003 Mac OS Linux, 都 (OS, Operating System ) 2 3 , 來, 行 3 理 行 4 ,, (UI, User Interface), 滑, 令 列 (CLI, Command-Line Interface) (GUI, Graphical User Interface) 2 5 令 列,

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

F4

F4 DOI:10.3969/j.issn.1009-6868.2016.01.002 网 络 出 版 地 址 :http://www.cnki.net/kcms/detail/34.1228.tn.20151117.1506.006.html Challenges and Countermeasures of Network Space Security 周 延 森 /ZHOU Yansen 周 琳 娜

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

BOOT 过 程

BOOT 过 程 计 算 机 组 成 原 理 第 一 章 概 论 李 曦 llxx@ustc.edu.cn BOOT 过 程 算 盘 = 计 算 器 计 算 机? 计 算 机 组 成 :what, how, why 硬 盘 电 源 导 线 和 电 缆 软 驱 内 存 扩 展 槽 扩 展 卡 主 板 微 处 理 器 (CPU) 各 种 外 设 接 口, 包 括 : 键 盘 鼠 标 显 示 器 USB 声 卡 网 卡 并

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

Dell EMC Data Domain DDOS 5.5 Data Domain Data Domain Data Domain : Data Domain Boost (DDBoost) Dell EMC DDBoost Data Domain DDBoost Source De-Dup Bac

Dell EMC Data Domain DDOS 5.5 Data Domain Data Domain Data Domain : Data Domain Boost (DDBoost) Dell EMC DDBoost Data Domain DDBoost Source De-Dup Bac Dell EMC Dell EMC IT Dell EMC IT Dell EMC https://www. dellemc.com/ Dell EMC Data Domain DDOS 5.5 Data Domain Data Domain Data Domain : Data Domain Boost (DDBoost) Dell EMC DDBoost Data Domain DDBoost

More information

(Pattern Recognition) 1 1. CCD

(Pattern Recognition) 1 1. CCD ********************************* ********************************* (Pattern Recognition) 1 1. CCD 2. 3. 4. 1 ABSTRACT KeywordsMachine Vision, Real Time Inspection, Image Processing The purpose of this

More information

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 1...3 2...20 3...28 4...41 5 Windows SQL Server...47 Microsoft SQL Server 2005 DBSRV1 Microsoft SQL Server

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

IT Data-intensive application,iscsi Middl

IT Data-intensive application,iscsi Middl 112-861 2-1-1 163 8677 1 24 2 E-mail: shiori@ogl.is.ocha.ac.jp, sane@cc.kogakuin.ac.jp, oguchi@computer.org IT Data-intensive application,iscsi iddleware for Load Distribution among Cloud Computing Resource

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

Learning Java

Learning Java Java Introduction to Java Programming (Third Edition) Prentice-Hall,Inc. Y.Daniel Liang 2001 Java 2002.2 Java2 2001.10 Java2 Philip Heller & Simon Roberts 1999.4 Java2 2001.3 Java2 21 2002.4 Java UML 2002.10

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63>

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63> 本 次 发 行 概 况 发 行 股 票 类 型 : 人 民 币 普 通 股 (A 股 ) 发 行 股 数 : 1,120 万 股 每 股 面 值 : 1.00 元 每 股 发 行 价 格 : [ ] 元 预 计 发 行 日 期 : [ ] 年 [ ] 月 [ ] 日 拟 上 市 的 证 券 交 易 所 : 发 行 后 总 股 本 : 深 圳 证 券 交 易 所 4,460 万 股 本 公 司 控 股

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

自由軟體教學平台

自由軟體教學平台 NCHC Opensource task force Steven Shiau steven@nchc.gov.tw National Center for High-Performance Computing Sep 10, 2002 1 Outline 1. 2. 3. Service DHCP, TFTP, NFS, NIS 4. 5. 2 DRBL (diskless remote boot

More information

solutions guide

solutions guide solutions guide Tridium 01 Table of Contents Tridium... 1 Frameworks... 4 Niagara AX Framework... 5 Sedona Framework... 6.... 7 NPM... 8 Sedona Chip... 9 AX Supervisor... 10 AX SoftJACE...11...12. JACE

More information

并行程序设计基础

并行程序设计基础 1800 1800 学 计 发 软 调 术 计 术 应 软 务 2 1. 论 学 2. 实 验 学 3. 计 学 计 学 计 学 计 动 学 计 学 计 学 计 学 计 学 计 学 计 电 学 3 4 ( 级 计 ) CRAY, 银 I SMP( ) IBM p690 SUN MPP( 规 ) T3E 1000 HP ccnuma( 储 ) SGI Qrigin 统 联 腾 1800, IBM SP2

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu No.19 DCE Devices July 1, 2004 2004 6 15 PCI Express Developers Conference 2004 Intel 915P 915G 925X LGA775 P4 -- PCI Express Chipset HubLink Ultra V-Link, PCI Express Desktop.Mobile, Enterprise HyperTransport,

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

微型计算机原理与应用

微型计算机原理与应用 微型计算机原理与接口技术 主讲 : 张国钢副教授 ( 电气工程学院 ) 2016 年 9 月 学什么? 微机原理 建立以 INTEL80X86 为典型机型的计算机内部整机工作的基本原理, 并掌握应用汇编语言进行程序设计的基本方法 ; 接口技术 掌握存储器 I/O 接口电路与 CPU 连接方法 可编程接口电路应用技术 讲什么? 1. 微机系统发展与应用概述 (2 课时 ) 2. 微型计算机应用基础 (2

More information

775i65PE_BIOS_CN.p65

775i65PE_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 14:00:09] [Wed 10/20/2004] BIOS Version : 775i65PE BIOS P1.00 Processor Type : Intel (R) CPU 3.20 GHz Processor Speed : 3200

More information

Microsoft PowerPoint - ARC110_栾跃.ppt

Microsoft PowerPoint - ARC110_栾跃.ppt ARC110 软 件 构 架 设 计 的 原 则 和 指 南 课 程 内 容 概 述 介 绍 和 引 言 软 件 构 架 和 构 架 师 软 件 构 架 的 设 计 模 式 框 架 和 参 照 设 计 自 我 介 绍 第 一 代 自 费 留 学 生 : 美 国 南 伊 利 诺 州 立 大 学 (SIUE) 电 机 工 程 学 士 (1984) 及 硕 士 学 位 (1985) 历 任 OwensIllinois,

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

《嵌入式系统设计》教学大纲

《嵌入式系统设计》教学大纲 多 核 架 构 及 编 程 技 术 教 学 大 纲 课 程 代 码 :20062022071 课 程 负 责 人 : 杨 剑 锋 课 程 中 文 名 称 : 多 核 架 构 及 编 程 技 术 课 程 英 文 名 称 :Multi-Core Architecture and Programming Technologies 课 程 类 别 : 专 业 必 修 课 程 学 分 数 :3 课 程 学 时

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Microsoft Word ZW-11111

Microsoft Word ZW-11111 第 1 章计算机系统概述 考纲内容 1 2 3 CPU CPI CPU MIPS MFLOPS GFLOPS TFLOPS 复习指导 本章是组成原理的概述, 易对有关概念或性能指标出选择题, 也可能综合后续章节的内容出有关性能分析的综合题 掌握本章的基本概念, 是学好后续章节的基础 部分知识点在初学时理解不甚深刻也无需担忧, 相信随着后续章节的学习一定会有更为深入的理解 这一章中读者要重点掌握各个性能指标的计算,

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚 第 二 章 认 识 我 的 计 算 机 从 办 公 打 字 到 电 脑 游 戏 从 信 息 检 索 到 手 机 娱 乐 从 社 交 网 络 到 电 子 商 务 从 电 影 大 片 到 航 天 飞 行, 到 处 都 有 计 算, 到 处 都 离 不 开 计 算 机 它 作 为 信 息 处 理 的 关 键 设 备, 已 成 为 现 代 社 会 人 们 生 产 与 生 活 的 基 本 工 具, 在 国 民

More information

<4D6963726F736F667420576F7264202D20D5E3BDADD5FDD4AAD6C7BBDBBFC6BCBCB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1B2A2D4DAB4B4D2B5B0E5C9CFCAD0D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303135C4EA36D4C23139C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20D5E3BDADD5FDD4AAD6C7BBDBBFC6BCBCB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1B2A2D4DAB4B4D2B5B0E5C9CFCAD0D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303135C4EA36D4C23139C8D5B1A8CBCDA3A92E646F63> 浙 江 正 元 智 慧 科 技 股 份 有 限 公 司 ( 杭 州 市 余 杭 区 文 一 西 路 1500 号 1 幢 301 室 ) 首 次 公 开 发 行 股 票 并 在 创 业 板 上 市 招 股 说 明 书 ( 申 报 稿 ) 本 公 司 的 发 行 申 请 尚 未 得 到 中 国 证 监 会 核 准 本 招 股 说 明 书 ( 申 报 稿 ) 不 具 有 据 以 发 行 股 票 的 法

More information

算盘 = 计算器 计算机?Tiny?

算盘 = 计算器 计算机?Tiny? 计算机组成原理 第一章概论 李曦 llxx@ustc.edu.cn 算盘 = 计算器 计算机?Tiny? Layers and Views of a Computer System 计算机在干啥? 计算机组成 :what, how, why 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU

More information

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E >

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E > 第九讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 7 章微处理器的内部结构及外部功能特性 1 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 2 微处理器相关的若干关键设计 总线 : 提高微处理器 存储器和外设之间的传输效率

More information

计算机组成原理

计算机组成原理 Computer Organization Principles 计算机组成原理 主讲教师 : 孙鑫 (sunxin@ouc.edu.cn) ( 信息学院南楼,B311 室 ) http://cvpr.ouc.edu.cn/people/com/ For Students of Computer 2015 计算机硬件系统组成 ( 章节分配 ) 总线和I/O 接口第二部分 控制器 运算器 (5,6 章

More information

算盘 = 计算器 计算机?Tiny?

算盘 = 计算器 计算机?Tiny? 计算机组成原理 第一章概论 李曦 llxx@ustc.edu.cn 算盘 = 计算器 计算机?Tiny? 程序是如何执行的? 计算机在干啥? COD 课程的作用 所有大学计算机系都开设 COD 相关课程 为今后学习操作系统 编译原理 计算机体系结构 数据库技术 并行计算 分布式系统等课程打基础 相关课程 数字电路 程序设计 组成原理 重点 单核单处理器 系统 介绍多核 多处理器等并行系统 强调软硬件接口

More information

Microsoft Word - 最新正文.doc

Microsoft Word - 最新正文.doc 9 21 1.1.1 1.1.2 1 2 2 Windows 7+Office 2010 3 4 5 6 4 7 1.1.3 5 1.1.4 1 3 2 NII 1993 3 CNNIC 2014 1 16 33 1 2013 12 6.18 5358 45.8% 2012 3.7 2 2013 12 5 19.1% 2012 74.5% 81.0% 2013 3G 2013 12 2.47 2012

More information

Undergraduate Schedule Course For Clinical Medicine on Jiangsu University

Undergraduate Schedule Course For Clinical Medicine on Jiangsu University Curriculum Schedule for the of Jiangsu University Curriculum Schedule for the Computer Science and Technology of Jiangsu University (009 and later) Duration of Study The study of cst (Computer Science

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

Total Internet Connectivity in a Single Chip

Total Internet Connectivity in a Single Chip 基 于 SX 微 处 理 器 的 嵌 入 式 Internet 技 术 乐 德 广 及 其 应 用 系 统 的 设 计 1 1, 郭 东 辉, 刘 瑞 堂 1, Gerard Parr 2 1. 厦 门 大 学 技 术 物 理 研 究 所 厦 门 361005 2. 英 国 Ulster 大 学 信 息 学 院 N.Ireland, BT52 1SA 摘 要 : SX 微 处 理 器 是 美 国 Scenix

More information

天津商学院(二).DOC

天津商学院(二).DOC ... 1... 2... 6... 20... 26... 27... 30... 33... 35... 36... 42... 67... 71... 77... 79... 79... 80... 125 I ... 130... 145 21... 154... 168... 182... 191... 200 II 1 1. 2. 1500 1000 3. 100 100 600 4.

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5>

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5> 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 年 度 博 士 资 格 考 试 实 施 细 则 一 总 则 本 细 则 由 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 ( 以 下 简 称 信 息 学 院 ) 教 学 指 导 委 员 会 讨 论 制 定, 适 用 于 信 息 学 院 2014 级 硕 士 研 究 生 的 年 度 博 士 资 格 考 试 信 息 学 院 2014

More information

T2Kオープンスパコン東大版の半年

T2Kオープンスパコン東大版の半年 T2K The University of Tokyo 2009/03/13 1 Outline 1. T2K 2. 3. 4. 2009/03/13 The University of Tokyo 2 T2KPC Embarrassingly Parallel Application 2009/03/13 The University of Tokyo 3 WEB 2009/03/13 The University

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

计算机组成原理 第一章概论 李曦

计算机组成原理 第一章概论 李曦 计算机组成原理 第一章概论 李曦 llxx@ustc.edu.cn 算盘 = 计算器 计算机?Tiny? 程序是如何执行的? 用到哪些设备? 计算机在干啥? COD 课程的作用 所有大学计算机系都开设 COD 相关课程 为今后学习操作系统 编译原理 计算机体系结构 数据库技术 并行计算 分布式系统等课程打基础 相关课程 数字电路 程序设计 组成原理 重点 单核单处理器 系统 介绍多核 多处理器等并行系统

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

<453A5CBDCCD1A72DBFCEB3CC5C C4EAB4BA20B2A2D0D0BCC6CBE35C536C E65775C D E >

<453A5CBDCCD1A72DBFCEB3CC5C C4EAB4BA20B2A2D0D0BCC6CBE35C536C E65775C D E > 并行计算 Parallel Computing 主讲人孙广中 Spring, 2018 国家高性能计算中心 ( 合肥 ) 并行计算, 孙广中 ( 中国科学技术大学, 计算机学院 ) 2018-4-11 并行计算 结构 算法 编程 第一篇并行计算的基础 第一章并行计算与并行计算机结构模型 第二章并行计算机系统互连与基本通信操作 第三章典型并行计算机系统介绍 第四章并行计算性能评测 国家高性能计算中心

More information

软 件 工 程 专 业 习 指 南 目 录 一 软 件 工 程 专 业 设 置 背 景 与 发 展 前 景... 3 二 软 件 工 程 专 业 实 践 教 条 件... 4 三 软 件 工 程 专 业 课 程 类 型 及 核 方 式... 6 1. 软 件 工 程 专 业 课 程 类 型...7

软 件 工 程 专 业 习 指 南 目 录 一 软 件 工 程 专 业 设 置 背 景 与 发 展 前 景... 3 二 软 件 工 程 专 业 实 践 教 条 件... 4 三 软 件 工 程 专 业 课 程 类 型 及 核 方 式... 6 1. 软 件 工 程 专 业 课 程 类 型...7 计 算 机 系 软 件 工 程 专 业 习 指 南 广 东 科 技 院 计 算 机 系 2015-9-1 软 件 工 程 专 业 习 指 南 目 录 一 软 件 工 程 专 业 设 置 背 景 与 发 展 前 景... 3 二 软 件 工 程 专 业 实 践 教 条 件... 4 三 软 件 工 程 专 业 课 程 类 型 及 核 方 式... 6 1. 软 件 工 程 专 业 课 程 类 型...7

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

1. ( B ) IT (A) (B) (C) (D) 2. ( A ) (A) (B) (C) (D) 3. ( B ) (A) GPS (B) GIS (C) ETC (D) CAI 4. ( D ) (A) (B) (C) (D) 5. ( B ) (Stored Program) (A) H

1. ( B ) IT (A) (B) (C) (D) 2. ( A ) (A) (B) (C) (D) 3. ( B ) (A) GPS (B) GIS (C) ETC (D) CAI 4. ( D ) (A) (B) (C) (D) 5. ( B ) (Stored Program) (A) H ... 2... 4... 6... 8... 10... 12... 14... 16... 18... 20... 22... 24... 25... 26... 28 1. ( B ) IT (A) (B) (C) (D) 2. ( A ) (A) (B) (C) (D) 3. ( B ) (A) GPS (B) GIS (C) ETC (D) CAI 4. ( D ) (A) (B) (C)

More information

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To PowerDesigner Applying PowerDesigner CASE Tool in OOAD albertchung@mpinfo.com.tw PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE Tool PowerDesigner CASE Tool CASE Tool PowerDesignerUnified ProcessUMLing

More information

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA)

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA) LP5-970060 HP (EDA) HP (GIS) HP OEM HP z400 z600/xw6000 z800 xw9000 CPU 8 intel base 6SATA channel SAS Hard Disk PCI-X 192GB Memory CAE D H D SDHD CPU APRAM CPU, AMD Dual core Model 3D 64GB Memory SCI

More information

13 A DSS B DSS C DSS D DSS A. B. C. CPU D. 15 A B Cache C Cache D L0 L1 L2 Cache 16 SMP A B. C D 17 A B. C D A B - C - D

13 A DSS B DSS C DSS D DSS A. B. C. CPU D. 15 A B Cache C Cache D L0 L1 L2 Cache 16 SMP A B. C D 17 A B. C D A B - C - D 2008 1 1 A. B. C. D. UML 2 3 2 A. B. C. D. 3 A. B. C. D. UML 4 5 4 A. B. C. D. 5 A. B. C. D. 6 6 A. DES B. RC-5 C. IDEA D. RSA 7 7 A. B. C. D. TCP/IP SSL(Security Socket Layer) 8 8 A. B. C. D. 9 9 A. SET

More information

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344>

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344> 1. 請 問 誰 提 出 積 體 電 路 (IC) 上 可 容 納 的 電 晶 體 數 目, 約 每 隔 24 個 月 (1975 年 更 改 為 18 個 月 ) 便 會 增 加 一 倍, 效 能 也 將 提 升 一 倍, 也 揭 示 了 資 訊 科 技 進 步 的 速 度? (A) 英 特 爾 (Intel) 公 司 創 始 人 戈 登. 摩 爾 (Gordon Moore) (B) 微 軟 (Microsoft)

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Multi-national Company Operation and Public...

Multi-national Company Operation and Public... Multi-national company operation and Information platform 跨 国 企 业 运 营 模 对 公 共 信 息 平 台 的 需 求 1 运 营 管 理 的 范 围 : 运 营 战 略 运 营 结 构 框 架 企 业 核 心 竞 争 力 和 挑 战 运 营 绩 效 评 估 及 市 场 标 竿 分 析 总 计 划 流 程 总 产 量 计 划 设 计 销

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

<4D6963726F736F667420576F7264202D20B8DFB5C8D1A7D0A3B1BEBFC6CEEFC1AACDF8B9A4B3CCD7A8D2B5D3A6D3C3D0CDC8CBB2C5C5E0D1F8D6B8B5BCD2E2BCFBA3A832303136B0E6A3A92E646F6378>

<4D6963726F736F667420576F7264202D20B8DFB5C8D1A7D0A3B1BEBFC6CEEFC1AACDF8B9A4B3CCD7A8D2B5D3A6D3C3D0CDC8CBB2C5C5E0D1F8D6B8B5BCD2E2BCFBA3A832303136B0E6A3A92E646F6378> 高 等 校 本 科 物 联 网 工 程 专 业 应 用 型 人 才 培 养 指 导 意 见 (2016 版 ) 高 等 校 本 科 计 算 机 类 专 业 应 用 型 人 才 培 养 研 究 项 目 物 联 网 工 程 专 业 工 作 组 序 随 着 信 息 化 社 会 的 发 展, 计 算 机 技 术 越 来 越 重 要, 信 息 产 业 成 为 世 界 第 一 大 产 业 信 息 技 术 的

More information

自由軟體教學平台

自由軟體教學平台 NCHC Opensource task force DRBL steven@nchc.gov.tw, c00hkl00@nchc.gov.tw National Center for High-Performance Computing http://www.nchc.gov.tw Jan, 2003 1 2003/1/28 ( ) 09:00-10:30 10:40-12:00 Linux 13:00-14:30

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

untitled

untitled zhouly@cn.ibm.com 48% 52% 57% 62% 67% 71% 2005 2006 2007 2008 2009 2010 教育信息化的发展需要新一代的绿色数据中心 更绿色的环境 持续地改善资源的利用率和功耗效率 更有效的管理 提供可靠的 高质量的IT服务 更快速的响应 满足不断变化的业务需求 提供动态响应能力 动态 简化 共享 100 80 60 40 20 0 80 90%

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

[1-3] (Smile) [4] 808 nm (CW) W 1 50% 1 W 1 W Fig.1 Thermal design of semiconductor laser vertical stack ; Ansys 20 bar ; bar 2 25 Fig

[1-3] (Smile) [4] 808 nm (CW) W 1 50% 1 W 1 W Fig.1 Thermal design of semiconductor laser vertical stack ; Ansys 20 bar ; bar 2 25 Fig 40 6 2011 6 Vol.40 No.6 Infrared and Laser Engineering Jun. 2011 808 nm 2000 W 1 1 1 1 2 2 2 2 2 12 (1. 710119 2. 710119) : bar 808 nm bar 100 W 808 nm 20 bar 2 000 W bar LIV bar 808 nm : : TN248.4 TN365

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information