查询 ADS8405 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 ADS8405 SLAS427 DECEMBER BIT, 1.25-MSPS, UNIPOLAR PSEUDO-DIFFERENTIAL INPUT, MICROPOWER SAMPLING ANA

Size: px
Start display at page:

Download "查询 ADS8405 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 ADS8405 SLAS427 DECEMBER BIT, 1.25-MSPS, UNIPOLAR PSEUDO-DIFFERENTIAL INPUT, MICROPOWER SAMPLING ANA"

Transcription

1 查询 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 16-BIT, 1.25-MSPS, UNIPOLAR PSEUDO-DIFFERENTIAL INPUT, MICROPOWER SAMPLING ANALOG-TO-DIGITAL CONVERTER WITH PARALLEL INTERFACE FEATURES APPLICATIONS Unipolar Pseudo-Differential Input, 0 V to V ref DWDM 16-Bit NMC at 1.25 MSPS Instrumentation ±2 LSB INL Max, -1/+1.5 LSB DNL High-Speed, High-Resolution, Zero Latency Data Acquisition Systems 86 db SNR, -90 db THD at 100 khz Input Transducer Interface Zero Latency Medical Instruments Internal V Reference Communications High-Speed Parallel Interface Single 5-V Analog Supply DESCRIPTION Wide I/O Supply: 2.7 V to 5.25 V The is a 16-bit, 1.25-MHz A/D converter Low Power: 155 mw at 1.25 MHz Typ with an internal V reference. The device in- Pin Compatible With ADS8411/8401 cludes a 16-bit capacitor-based SAR A/D converter with inherent sample and hold. The offers a 48-Pin TQFP Package full 16-bit interface and an 8-bit option where data is read using two 8-bit read cycles if necessary. 18-Bit Pseudo-Diff High Speed SAR Converter Family The has a unipolar pseudo-differential input. It is available in a 48-lead TQFP package and is characterized over the industrial -40 C to 85 C temperature range. Type/Speed 500 khz ~600 khz 750 khz 1 MHz 1.25 MHz 2 MHz 3 MHz 4 MHz 18-Bit Pseudo-Bipolar, Fully Diff ADS8383 ADS8381 ADS8380 (S) ADS8382 (S) 16-Bit Pseudo-Diff ADS8371 ADS8401/05 ADS Bit Pseudo-Bipolar, Fully Diff ADS8402/06 ADS Bit Pseudo-Diff ADS7890 (S) ADS Bit Pseudo-Diff ADS7886 ADS7881 REFOUT +IN IN REFIN + _ V Internal Reference CDAC SAR Comparator Clock Output Latches and 3-State Drivers Conversion and Control Logic BYTE 16-/8-Bit Parallel DATA Output Bus RESET CONVST BUSY CS RD Please be aware that an important notice concerning availability, standard warranty, and use in critical applications of Texas Instruments semiconductor products and disclaimers thereto appears at the end of this data sheet. PRODUCTION DATA information is current as of publication date. Products conform to specifications per the terms of the Texas Copyright 2004, Texas Instruments Incorporated

2 ORDERING INFORMATION (1) MAXIMUM MAXIMUM NO MISSING INTEGRAL DIFFERENTIAL CODES PACKAGE PACKAGE TEMPERATURE ORDERING MODEL LINEARITY LINEARITY RESOLUTION TYPE DESIGNATOR RANGE INFORMATION (LSB) (LSB) (BIT) IPFBT I 4 to +4 2 to Pin TQFP PFB 40 C to 85 C IPFBR IBPFBT IB 2 to +2 1 to Pin TQFP PFB 40 C to 85 C IBPFBR TRANSPORT MEDIA QUANTITY Tape and reel 250 Tape and reel 1000 Tape and reel 250 Tape and reel 1000 (1) For the most current specifications and package information, refer to our website at. ABSOLUTE MAXIMUM RATINGS over operating free-air temperature range unless otherwise noted (1) +IN to IN to UNIT 0.4 V to +VA V 0.4 V to 0.5 V Voltage +VA to 0.3 V to 7 V +VBD to BDGND +VA to +VBD Digital input voltage to BDGND Digital output voltage to BDGND 0.3 V to 7 V 0.3 V to 2.55 V 0.3 V to +VBD V 0.3 V to +VBD V T A Operating free-air temperature range 40 C to 85 C T stg Storage temperature range 65 C to 150 C Junction temperature (T J max) 150 C TQFP package Power dissipation Lead temperature, soldering θ JA thermal impedance (T J Max T A )/θ JA 86 C/W Vapor phase (60 sec) 215 C Infrared (15 sec) 220 C (1) Stresses beyond those listed under absolute maximum ratings may cause permanent damage to the device. These are stress ratings only, and functional operation of the device at these or any other conditions beyond those indicated under recommended operating conditions is not implied. Exposure to absolute-maximum-rated conditions for extended periods may affect device reliability. 2

3 SPECIFICATIONS T A = 40 C to 85 C, +VBD = 3 V or 5 V, V ref = V, f SAMPLE = 1.25 MHz (unless otherwise noted) ANALOG INPUT PARAMETER TEST CONDITIONS MIN TYP MAX UNIT Full-scale input voltage (1) +IN ( IN) 0 V ref V Absolute input voltage +IN 0.2 V ref IN Input capacitance 25 pf Input leakage current 0.5 na SYSTEM PERFORMANCE Resolution 16 Bits No missing codes I 15 IB 16 I 4 ±2 4 INL Integral linearity (2)(3) LSB IB 2 ±1 2 I 2 ±1 2 DNL Differential linearity LSB IB 1 ± I 3 ±1 3 mv E O Offset error (4) IB 1.5 ± mv I E G Gain error (4)(5) %FS IB Noise 60 µv RMS At FFFFh output code, +VA = 4.75 V DC Power supply rejection ratio 2 LSB to 5.25 V, V ref = V (4) SAMPLING DYNAMICS Conversion time ns Acquisition time 150 ns Throughput rate 1.25 MHz Aperture delay 2 ns Aperture jitter 25 ps Step response 100 ns Overvoltage recovery 100 ns DYNAMIC CHARACTERISTICS VIN = 4 V p-p at 100 khz 90 db THD Total harmonic distortion (6) VIN = 4 V p-p at 500 khz 88.5 db SNR Signal-to-noise ratio VIN = 4 V p-p at 100 khz 86 db SINAD Signal-to-noise + distortion VIN = 4 V p-p at 100 khz 85 db SFDR Spurious free dynamic range VIN = 4 V p-p at 100 khz 90 db VIN = 4 V p-p at 500 khz 88 db -3dB Small signal bandwidth 5 MHz EXTERNAL VOLTAGE REFERENCE INPUT Reference voltage at REFIN, V ref V Reference resistance (7) 500 kω V Bits (1) Ideal input span, does not include gain or offset error. (2) LSB means least significant bit (3) This is endpoint INL, not best fit. (4) Measured relative to an ideal full-scale input (+IN ( IN)) of V. (5) This specification does not include the internal reference voltage error and drift. (6) Calculated on the first nine harmonics of the input frequency. (7) Can vary ±20% 3

4 SPECIFICATIONS (continued) T A = 40 C to 85 C, +VBD = 3 V or 5 V, V ref = V, f SAMPLE = 1.25 MHz (unless otherwise noted) PARAMETER TEST CONDITIONS MIN TYP MAX UNIT INTERNAL REFERENCE OUTPUT From 95% (+VA), with 1-µF storage Internal reference start-up time 120 ms capacitor V ref range IOUT = V Source current Static load 10 µa Line regulation +VA = 4.75 V to 5.25 V 0.6 mv Drift IOUT = 0 36 PPM/C DIGITAL INPUT/OUTPUT Logic family - CMOS V IH High-level input voltage I IH = 5 µa +VBD 1 +VBD V IL Low-level input voltage I IL = 5 µa V OH High-level output voltage I OH = 2 TTL loads +VBD 0.6 +VBD V OL Low-level output voltage I OL = 2 TTL loads Data format - straight binary POWER SUPPLY REQUIREMENTS Power supply voltage +VBD V +VA V +VA Supply current (8) f s = 1.25 MHz ma Power dissipation (8) f s = 1.25 MHz mw TEMPERATURE RANGE Operating free-air C (8) This includes only VA+ current. +VBD current is typically 1 ma with 5-pF load capacitance on output pins. V 4

5 TIMING CHARACTERISTICS All specifications typical at 40 C to 85 C, +VA = +VBD = 5 V (1)(2)(3) PARAMETER MIN TYP MAX UNIT t CONV Conversion time ns t ACQ Acquisition time 150 ns t pd1 CONVST low to BUSY high 40 ns t pd2 Propagation delay time, end of conversion to BUSY low 5 ns t w1 Pulse duration, CONVST low 20 ns t su1 Setup time, CS low to CONVST low 0 ns t w2 Pulse duration, CONVST high 20 ns CONVST falling edge jitter 10 ps t w3 Pulse duration, BUSY signal low Min(t ACQ ) ns t w4 Pulse duration, BUSY signal high 610 ns Hold time, first data bus data transition (RD low, or CS low for read t h1 40 ns cycle, or BYTE input changes) after CONVST low t d1 Delay time, CS low to RD low (or BUSY low to RD low when CS = 0) 0 ns t su2 Setup time, RD high to CS high 0 ns t w5 Pulse duration, RD low 50 ns t en Enable time, RD low (or CS low for read cycle) to data valid 20 ns t d2 Delay time, data hold from RD high 0 ns t d3 Delay time, BYTE rising edge or falling edge to data valid 2 20 ns t w6 Pulse duration, RD high 20 ns t w7 Pulse duration, CS high 20 ns Hold time, last RD (or CS for read cycle ) rising edge to CONVST t h2 50 ns falling edge t su3 Setup time, BYTE transition to RD falling edge 0 ns t h3 Hold time, BYTE transition to RD falling edge 0 ns t dis Disable time, RD high (CS high for read cycle) to 3-stated data bus 20 ns t d5 Delay time, end of conversion to MSB data valid 10 ns Byte transition setup time, from BYTE transition to next BYTE t su4 50 ns transition t d6 Delay time, CS rising edge to BUSY falling edge 50 ns t d7 Delay time, BUSY falling edge to CS rising edge 50 ns Setup time, from the falling edge of CONVST (used to start the valid t su(ab) conversion) to the next falling edge of CONVST (when CS = 0 and CONVST used to abort) or to the next falling edge of CS (when CS is ns used to abort) Setup time, falling edge of CONVST to read valid data (MSB) from t su5 MAX(t CONV ) + MAX(t d5 ) ns current conversion Hold time, data (MSB) from previous conversion hold valid from t h4 MIN(t CONV ) ns falling edge of CONVST (1) All input signals are specified with t r = t f = 5 ns (10% to 90% of +VBD) and timed from a voltage level of (V IL + V IH )/2. (2) See timing diagrams. (3) All timings are measured with 20-pF equivalent loads on all data bits and BUSY pins. 5

6 TIMING CHARACTERISTICS All specifications typical at 40 C to 85 C, +VBD = 3 V (1)(2)(3) PARAMETER MIN TYP MAX UNIT t CONV Conversion time ns t ACQ Acquisition time 150 ns t pd1 CONVST low to BUSY high 50 ns t pd2 Propagation delay time, end of conversion to BUSY low 10 ns t w1 Pulse duration, CONVST low 20 ns t su1 Setup time, CS low to CONVST low 0 ns t w2 Pulse duration, CONVST high 20 ns CONVST falling edge jitter 10 ps t w3 Pulse duration, BUSY signal low Min(t ACQ ) ns t w4 Pulse duration, BUSY signal high 610 ns Hold time, first data bus transition (RD low, or CS low for read cycle, t h1 40 ns or BYTE input changes) after CONVST low t d1 Delay time, CS low to RD low (or BUSY low to RD low when CS = 0) 0 ns t su2 Setup time, RD high to CS high 0 ns t w5 Pulse duration, RD low 50 ns t en Enable time, RD low (or CS low for read cycle) to data valid 30 ns t d2 Delay time, data hold from RD high 0 ns t d3 Delay time, BYTE rising edge or falling edge to data valid 2 30 ns t w6 Pulse duration, RD high 20 ns t w7 Pulse duration, CS high 20 ns Hold time, last RD (or CS for read cycle ) rising edge to CONVST t h2 50 ns falling edge t su3 Setup time, BYTE transition to RD falling edge 0 ns t h3 Hold time, BYTE transition to RD falling edge 0 ns t dis Disable time, RD high (CS high for read cycle) to 3-stated data bus 30 ns t d5 Delay time, end of conversion to MSB data valid 20 ns Byte transition setup time, from BYTE transition to next BYTE t su4 50 ns transition t d6 Delay time, CS rising edge to BUSY falling edge 50 ns t d7 Delay time, BUSY falling edge to CS rising edge 50 ns Setup time, from the falling edge of CONVST (used to start the valid t su(ab) conversion) to the next falling edge of CONVST (when CS = 0 and CONVST used to abort) or to the next falling edge of CS (when CS is ns used to abort) Setup time, falling edge of CONVST to read valid data (MSB) from t su5 MAX(t CONV ) + MAX(t d5 ) ns current conversion Hold time, data (MSB) from previous conversion hold valid from t h4 MIN(t CONV ) ns falling edge of CONVST (1) All input signals are specified with t r = t f = 5 ns (10% to 90% of +VBD) and timed from a voltage level of (V IL + V IH )/2. (2) See timing diagrams. (3) All timings are measured with 10-pF equivalent loads on all data bits and BUSY pins. 6

7 PIN ASSIGNMENTS PFB PACKAGE (TOP VIEW) BUSY BDGND +VBD DB0 DB1 DB2 DB3 DB4 DB5 DB6 DB7 BDGND +VBD RESET BYTE CONVST RD CS +VA +VA REFM REFM VBD DB8 DB9 DB10 DB11 DB12 DB13 DB14 DB15 +VA REFIN REFOUT NC +VA +IN -IN +VA +VA NC - No connection 7

8 Terminal Functions NAME NO. I/O DESCRIPTION 5, 8, 11, 12, 14, Analog ground 15, 44, 45 BDGND 25, 35 Digital ground for bus interface digital supply BUSY 36 O Status output. High when a conversion is in progress. BYTE 39 I Byte select input. Used for 8-bit bus reading. 0: No fold back 1: Low byte D[7:0] of the 16 most significant bits is folded back to high byte of the 16 most significant pins DB[15:8]. CONVST 40 I Convert start. The falling edge of this input ends the acquisition period and starts the hold period. CS 42 I Chip select. The falling edge of this input starts the acquisition period. Data Bus 8-Bit Bus 16-Bit Bus BYTE = 0 BYTE = 1 BYTE = 0 DB15 16 O D15 (MSB) D7 D15 (MSB) DB14 17 O D14 D6 D14 DB13 18 O D13 D5 D13 DB12 19 O D12 D4 D12 DB11 20 O D11 D3 D11 DB10 21 O D10 D2 D10 DB9 22 O D9 D1 D9 DB8 23 O D8 D0 (LSB) D8 DB7 26 O D7 All ones D7 DB6 27 O D6 All ones D6 DB5 28 O D5 All ones D5 DB4 29 O D4 All ones D4 DB3 30 O D3 All ones D3 DB2 31 O D2 All ones D2 DB1 32 O D1 All ones D1 DB0 33 O D0 (LSB) All ones D0 (LSB) IN 7 I Inverting input channel +IN 6 I Noninverting input channel NC 3 No connection REFIN 1 I Reference input REFM 47, 48 I Reference ground REFOUT 2 O Reference output. Add 1-µF capacitor between the REFOUT pin and REFM pin when the internal reference is used. RESET 38 I Current conversion is aborted and output latches are cleared (set to zeros) when this pin is asserted low. RESET works independantly of CS. RD 41 I Synchronization pulse for the parallel output. When CS is low, this serves as the output enable and puts the previous conversion result on the bus. +VA 4, 9, 10, 13, 43, Analog power supplies, 5-V dc 46 +VBD 24, 34, 37 Digital power supply for bus 8

9 TIMING DIAGRAMS t w1 CONVST (used in normal conversion) t cycle t w2 CONVST (used in ABORT) t su(ab) t su(ab) t pd1 t w4 t pd2 t pd1 BUSY t w3 t su1 t d7 t w7 CS CONVERT t d6 t CONV t CONV SAMPLING (When CS Toggle) t ACQ BYTE t su4 t h1 t d1 t su2 RD t h2 Data to be read Previous Conversion Invalid Current Conversion Invalid DB[15:8] DB[7:0] t h4 t su5 t en D [15:8] D [7:0] D [7:0] t dis Signal internal to device Figure 1. Timing for Conversion and Acquisition Cycles With CS and RD Toggling 9

10 TIMING DIAGRAMS (continued) t w1 CONVST (used in normal conversion) t cycle t w2 CONVST (used in ABORT) t su(ab) tsu(ab) t pd1 t w4 t pd2 BUSY t w3 t su1 t d7 t w7 CS CONVERT t d6 t CONV t CONV SAMPLING (When CS Toggle) t ACQ BYTE t h1 t su4 t en t h2 RD = 0 t t en t dis Invalid dis Invalid Data to be read Previous Conversion Current Conversion t h4 t su5 Previous Repeated DB[15:8] D [15:8] D [7:0] D [15:8] D [15:8] DB[7:0] Previous D [7:0] D [7:0] Repeated D [7:0] Signal internal to device t en Figure 2. Timing for Conversion and Acquisition Cycles With CS Toggling, RD Tied to BDGND 10

11 TIMING DIAGRAMS (continued) t w1 CONVST (used in normal conversion) t cycle t w2 CONVST (used in ABORT) t su(ab) tsu(ab) t pd1 t w4 t pd2 t pd1 BUSY t w3 CS = 0 CONVERT t CONV t CONV SAMPLING (When CS = 0) t (ACQ) BYTE t h1 t su4 t h2 RD t en t dis Invalid Invalid Data to be read Previous Conversion Current Conversion t h4 t su5 DB[15:8] D [15:8] D [7:0] DB[7:0] D [7:0] Signal internal to device Figure 3. Timing for Conversion and Acquisition Cycles With CS Tied to BDGND, RD Toggling 11

12 TIMING DIAGRAMS (continued) t w1 CONVST (used in normal conversion) t cycle t w2 CONVST (used in ABORT) t su(ab) tsu(ab) BUSY t pd1 t w4 t pd2 t w3 t pd1 t pd2 CS = 0 CONVERT t CONV t CONV SAMPLING (When CS Toggle) t ACQ t h1 t h1 BYTE RD = 0 t d3 t d5 t d3 t d5 t su5 t h4 t su5 t d3 t h4 DB[15:8] Previous MSB Invalid MSB LSB MSB Invalid DB[7:0] Previous Previous LSB LSB Invalid MSB LSB MSB Invalid Signal internal to device Figure 4. Timing for Conversion and Acquisition Cycles With CS and RD Tied to BDGND Auto Read CS RD BYTE t su4 t en t dis t en t d3 t dis DB[15:0] Valid Valid Valid Figure 5. Detailed Timing for Read Cycles 12

13 TYPICAL CHARACTERISTICS At 40 C to 85 C, +VBD = 5 V, REFIN = V (internal reference used) and f sample = 1.25 MHz (unless otherwise noted) HISTOGRAM (DC Code Spread) HALF SCALE CONVERSIONS VBD = 3.3 V, T A = 25 C, Code = SNR Signal-to-Noise Ratio db SIGNAL-TO-NOISE RATIO FREE-AIR TEMPERATURE 85.6 f i = 50 khz, Full Scale Input, VBD = 3 V, Int Ref = V T A Free-Air Temperature C Figure 6. Figure 7. SINAD Signal-to-Noise and Distortion db SIGNAL-TO-NOISE AND DISTORTION FREE-AIR TEMPERATURE f i = 50 khz, Full Scale Input, 82 +VBD = 3 V, Int Ref = V T A Free-Air Temperature C ENOB Effective Number of Bits Bits EFFECTIVE NUMBER OF BITS FREE-AIR TEMPERATURE f i = 50 khz, Full Scale Input, +VBD = 3 V, Int Ref = V T A Free-Air Temperature C Figure 8. Figure 9. 13

14 TYPICAL CHARACTERISTICS (continued) SPURIOUS FREE DYNAMIC RANGE FREE-AIR TEMPERATURE TOTAL HARMONIC DISTORTION FREE-AIR TEMPERATURE SFDR Spurious Free Dynamic Range db f i = 50 khz, Full Scale Input, +VBD = 3 V, Int Ref = V T A Free-Air Temperature C THD Total Harmonic Distortion db f i = 50 khz, Full Scale Input, +VBD = 3 V, Int Ref = V T A Free-Air Temperature C Figure 10. Figure 11. SNR Signal-to-Noise Ratio db SIGNAL-TO-NOISE RATIO INPUT FREQUENCY 86.3 f 86.2 i = 50 khz, Full Scale Input, VBD = 3 V, Int Ref = V f i Input Frequency khz ENOB Effective Number of Bits Bits EFFECTIVE NUMBER OF BITS INPUT FREQUENCY f i = 50 khz, Full Scale Input, +VBD = 3 V, Int Ref = V f i Input Frequency khz Figure 12. Figure 13. SINAD Signal-to-Noise and Distortion db SIGNAL-TO-NOISE AND DISTORTION INPUT FREQUENCY f i = 50 khz, Full Scale Input, +VBD = 3 V, Int Ref = V f i Input Frequency khz SFDR Spurious Free Dynamic Range db SPURIOUS FREE DYNAMIC RANGE INPUT FREQUENCY f i = 50 khz, Full Scale Input, +VBD = 3 V, Int Ref = V f i Input Frequency khz Figure 14. Figure

15 TYPICAL CHARACTERISTICS (continued) THD Total Harmonic Distortion db TOTAL HARMONIC DISTORTION INPUT FREQUENCY f i = 50 khz, Full Scale Input, +VBD = 3 V, Int Ref = V f i Input Frequency khz I CC Supply Current ma SUPPLY CURRENT SAMPLE RATE +VBD = 3.3 V, T A = 25 C, Int Ref = V Sample Rate KSPS Figure 16. Figure 17. GAIN ERROR SUPPLY VOLTAGE OFFSET ERROR SUPPLY VOLTAGE VBD = 3.3 V, T A = 25 C, Ext Ref = V VBD = 3.3 V, T A = 25 C, Ext Ref = V Gain Error mv Offset Voltage mv V CC Supply Voltage V V CC Supply Voltage V Figure 18. Figure 19. Internal Reference Output Voltage V INTERNAL VOLTAGE REFERENCE FREE-AIR TEMPERATURE +VA = 5 V +VBD = 3.3 V T A Free-Air Temperature C Gain Error mv GAIN ERROR FREE-AIR TEMPERATURE +VBD = 3.3 V, Ext Ref = V T A Free-Air Temperature C Figure 20. Figure

16 TYPICAL CHARACTERISTICS (continued) OFFSET ERROR FREE-AIR TEMPERATURE SUPPLY CURRENT FREE-AIR TEMPERATURE Offset Voltage mv VBD = 3.3 V, Ext Ref = V I CC Supply Current ma VBD = 3.3 V T A Free-Air Temperature C T A Free-Air Temperature C Figure 22. Figure 23. DNL Differential Nonlinearity Bits DIFFERENTIAL NONLINEARITY FREE-AIR TEMPERATURE Min Max +VBD = 3.3 V, Ext Ref = V T A Free-Air Temperature C INL Integral Nonlinearity Bits INTEGRAL NONLINEARITY FREE-AIR TEMPERATURE Max +VBD = 3.3 V, Ext Ref = V Min T A Free-Air Temperature C Figure 24. Figure DIFFERENTIAL NONLINEARITY REFERENCE VOLTAGE 2 INTEGRAL NONLINEARITY REFERENCE VOLTAGE DNL Differential Nonlinearity Bits VBD = 3.3 V, Ext Ref = Varied Min Max INL Integral Nonlinearity Bits Max +VBD = 3.3 V, Ext Ref = Varied Min V REF Reference Voltage V V REF Reference Voltage V Figure 26. Figure

17 TYPICAL CHARACTERISTICS (continued) DNL LSBs DNL +VBD = 5 V, T A = 25 C, Ext Ref = V Code Figure 28. INL LSBs INL +VBD = 5 V, T A = 25 C, Ext Ref = V Code Figure 29. Amplitude FFT +VBD = 3.3 V, REF Points, f i = 100 khz, f s = 1.25 MHz, T A = 25 C, Int Ref = V Frequency khz Figure

18 APPLICATION INFORMATION MICROCONTROLLER INTERFACING to 8-Bit Microcontroller Interface Figure 31 shows a parallel interface between the and a typical microcontroller using the 8-bit data bus. The BUSY signal is used as a falling-edge interrupt to the microcontroller. Analog 5 V 0.1 µf 1 µf 10 µf 0.1 µf Ext Ref Input Analog Input Micro Controller GPIO GPIO P[7:0] RD GPIO INT CS +VA REFIN BYTE DB[15:8] RD CONVST BUSY REFM +IN IN BDGND +VBD BDGND 0.1 µf Digital 3 V Figure 31. Application Circuitry (Using an External Reference) Analog 5 V 0.1 µf 10 µf 0.1 µf 1 µf +VA REFOUT REFIN REFM Figure 32. Using the Internal Reference PRINCIPLES OF OPERATION The is a high-speed successive approximation register (SAR) analog-to-digital converter (ADC). The architecture is based on charge redistribution, which inherently includes a sample/hold function. See Figure 31 for the application circuit for the. The conversion clock is generated internally. The conversion time of 650 ns is capable of sustaining a 1.25-MHz throughput. 18

19 PRINCIPLES OF OPERATION (continued) REFERENCE ANALOG INPUT V 0.1 F The analog input is provided to two input pins: +IN and IN. When a conversion is initiated, the differential input on these pins is sampled on the internal capacitor array. While a conversion is in progress, both inputs are disconnected from any internal function. The can operate with an external reference with a range from 2.5 V to 4.2 V. A V internal reference is included. When an internal reference is used, pin 2 (REFOUT) should be connected to pin 1 (REFIN) with a 0.1-µF decoupling capacitor and a 1-µF storage capacitor between pin 2 (REFOUT) and pins 47 and 48 (REFM) (see Figure 32). The internal reference of the converter is double buffered. If an external reference is used, the second buffer provides isolation between the external reference and the CDAC. This buffer is also used to recharge all of the capacitors of the CDAC during conversion. Pin 2 (REFOUT) can be left unconnected (floating) if an external reference is used. When the converter enters hold mode, the voltage difference between the +IN and -IN inputs is captured on the internal capacitor array. The voltage on the IN input is limited between 0.2 V and 0.2 V, allowing the input to reject small signals which are common to both the +IN and IN inputs. The +IN input has a range of 0.2 V to V ref V. The input span (+IN ( IN)) is limited to 0 V to V ref. The input current on the analog inputs depends upon a number of factors: sample rate, input voltage, and source impedance. Essentially, the current into the charges the internal capacitor array during the sample period. After this capacitance has been fully charged, there is no further input current. The source of the analog input voltage must be able to charge the input capacitance (25 pf) to an 16-bit settling level within the acquisition time (150 ns) of the device. When the converter goes into hold mode, the input impedance is greater than 1 GΩ. Care must be taken regarding the absolute analog input voltage. To maintain the linearity of the converter, the +IN and IN inputs and the span (+IN ( IN)) should be within the limits specified. Outside of these ranges, the converter's linearity may not meet specifications. To minimize noise, low bandwidth input signals with low-pass filters should be used. Care should be taken to ensure that the output impedance of the sources driving the +IN and IN inputs are matched. If this is not observed, the two inputs could have different setting times. This may result in offset error, gain error, and linearity error which varies with temperature and input voltage. A typical input circuit using TI's THS4031 is shown in Figure F G = V IN _ THS F pf +IN IN 0.1 F 15 V Figure 33. Using the THS4031 with the 19

20 PRINCIPLES OF OPERATION (continued) DIGITAL INTERFACE Timing And Control See the timing diagrams in the specifications section for detailed information on timing signals and their requirements. The uses an internal oscillator generated clock which controls the conversion rate and in turn the throughput of the converter. No external clock input is required. Conversions are initiated by bringing the CONVST pin low for a minimum of 20 ns (after the 20 ns minimum requirement has been met, the CONVST pin can be brought high) while CS is low. The switches from the sample to the hold mode on the falling edge of the CONVST command. A clean and low jitter falling edge of this signal is important to the performance of the converter. The BUSY output is brought high after CONVST goes low. BUSY stays high throughout the conversion process and returns low when the conversion has ended. Sampling starts as soon as the conversion is over when CS is tied low or starts with the falling edge of CS when BUSY is low. Both RD and CS can be high during and before a conversion with one exception (CS must be low when CONVST goes low to initiate a conversion). Both the RD and CS pins are brought low in order to enable the parallel output bus with the conversion. Reading Data The outputs full parallel data in straight binary format as shown in Table 1. The parallel output is active when CS and RD are both low. There is a minimal quiet zone requirement around the falling edge of CONVST. This is 50 ns prior to the falling edge of CONVST and 40 ns after the falling edge. No data read should be attempted within this zone. Any other combination of CS and RD sets the parallel output to 3-state. BYTE is used for multiword read operations. BYTE is used whenever lower bits of the converter result are output on the higher byte of the bus. Refer to Table 1 for ideal output codes. Table 1. Ideal Input Voltages and Output Codes DESCRIPTION ANALOG VALUE DIGITAL OUTPUT Full scale range +V ref STRAIGHT BINARY Least significant bit (LSB) (+V ref )/65536 BINARY CODE HEX CODE Full scale (+V ref ) 1 LSB FFFF Midscale (+V ref )/ Midscale 1 LSB (+V ref )/2 1 LSB FFF Zero 0 V The output data is a full 16-bit word (D15 D0) on the DB15 DB0 pins (MSB-LSB) if BYTE is low. The result may also be read on an 8-bit bus for convenience. This is done by using only pins DB15 DB8. In this case two reads are necessary: the first as before, leaving BYTE low and reading the 8 most significant bits on pins DB15 DB8, then bringing BYTE high. When BYTE is high, the low bits (D7 D0) appear on pins DB15 D8. These multiword read operations can be done with multiple active RD (toggling) or with RD tied low for simplicity. BYTE Conversion Data Readout DB15 DB8 Pins DATA READ OUT DB7 DB0 Pins High D7 D0 All one's Low D15 D8 D7-D0 20

21 RESET POWER-ON INITIALIZATION LAYOUT RESET is an asynchronous active low input signal (that works independently of CS). Minimum RESET low time is 25 ns. The current conversion is aborted no later than 50 ns after the converter is in reset mode. In addition, all output latches are cleared (set to zero's) after RESET. The converter goes back to normal operation mode no later than 20 ns after the RESET input is brought high. The converter starts the first sampling period 20 ns after the rising edge of RESET. Any sampling period except for the one immediately after a RESET is started with the falling edge of the previous BUSY signal or the falling edge of CS, whichever is later. Another way to reset the device is through the use of the combination of CS and CONVST. This is useful when the dedicated RESET pin is tied to the system reset but there is a need to abort only the conversion in a specific converter. Since the BUSY signal is held high during the conversion, either one of these conditions triggers an internal self-clear reset to the converter just the same as a reset via the dedicated RESET pin. The reset does not have to be cleared as for the dedicated RESET pin. A reset can be started with either of the two following steps. Issue a CONVST when CS is low and a conversion is in progress. The falling edge of CONVST must satisfy the timing as specified by the timing parameter t su(ab) specified in the timing characteristics table to ensure a reset. The falling edge of CONVST starts a reset. The timing is the same as a reset using the dedicated RESET pin except the instance of the falling edge is replaced by the falling edge of CONVST. Issue a CS while a conversion is in progress. The falling edge of CS must satisfy the timing as specified by the timing parameter t su(ab) specified in the timing characteristics table to ensure a reset. The falling edge of CS causes a reset. The timing is the same as a reset using the dedicated RESET pin except the instance of the falling edge is replaced by the falling edge of CS. RESET is not required after power on. An internal power-on reset circuit generates the reset. To ensure that all of the registers are cleared, the three conversion cycles must be given to the converter after power on. For optimum performance, care should be taken with the physical layout of the circuitry. As the offers single-supply operation, it is often used in close proximity with digital logic, microcontrollers, microprocessors, and digital signal processors. The more digital logic present in the design and the higher the switching speed, the more difficult it is to achieve good performance from the converter. The basic SAR architecture is sensitive to glitches or sudden changes on the power supply, reference, ground connections, and digital inputs that occur just prior to latching the output of the analog comparator. Thus, driving any single conversion for an n-bit SAR converter, there are at least n windows in which large external transient voltages can affect the conversion result. Such glitches might originate from switching power supplies, nearby digital logic, or high power devices. The degree of error in the digital output depends on the reference voltage, layout, and the exact timing of the external event. On average, the draws very little current from an external reference, as the reference voltage is internally buffered. If the reference voltage is external and originates from an op amp, make sure that it can drive the bypass capacitor or capacitors without oscillation. A 0.1-µF bypass capacitor and a 1-µF storage capacitor are recommended from pin 1 (REFIN) directly to pin 48 (REFM). REFM and should be shorted on the same ground plane under the device. The and BDGND pins should be connected to a clean ground point. In all cases, this should be the analog ground. Avoid connections which are close to the grounding point of a microcontroller or digital signal processor. If required, run a ground trace directly from the converter to the power supply entry point. The ideal layout consists of an analog ground plane dedicated to the converter and associated analog circuitry. 21

22 As with the connections, +VA should be connected to a 5-V power supply plane or trace that is separate from the connection for digital logic until they are connected at the power entry point. Power to the should be clean and well bypassed. A 0.1-µF ceramic bypass capacitor should be placed as close to the device as possible. See Table 2 for the placement of the capacitor. In addition, a 1-µF to 10-µF capacitor is recommended. In some situations, additional bypassing may be required, such as a 100-µF electrolytic capacitor or even a Pi filter made up of inductors and capacitors all designed to essentially low-pass filter the 5-V supply, removing the high frequency noise. Table 2. Power Supply Decoupling Capacitor Placement POWER SUPPLY PLANE SUPPLY PINS CONVERTER ANALOG SIDE CONVERTER DIGITAL SIDE (4,5), (8,9), (10,11), (13,15), Pin pairs that require shortest path to decoupling capacitors (24,25), (34, 35) (43,44), (45,46) Pins that require no decoupling 12,

23 PACKAGE OPTION ADDENDUM 10-Feb-2006 PACKAGING INFORMATION Orderable Device Status (1) Package Type Package Drawing Pins Package Qty IBPFBR ACTIVE TQFP PFB Green (RoHS & no Sb/Br) IBPFBRG4 ACTIVE TQFP PFB Green (RoHS & no Sb/Br) IBPFBT ACTIVE TQFP PFB Green (RoHS & no Sb/Br) IBPFBTG4 ACTIVE TQFP PFB Green (RoHS & no Sb/Br) IPFBR ACTIVE TQFP PFB Green (RoHS & no Sb/Br) IPFBRG4 ACTIVE TQFP PFB Green (RoHS & no Sb/Br) IPFBT ACTIVE TQFP PFB Green (RoHS & no Sb/Br) IPFBTG4 ACTIVE TQFP PFB Green (RoHS & no Sb/Br) Eco Plan (2) Lead/Ball Finish MSL Peak Temp (3) CU NIPDAU CU NIPDAU CU NIPDAU CU NIPDAU CU NIPDAU CU NIPDAU CU NIPDAU CU NIPDAU Level-2-260C-1 YEAR Level-2-260C-1 YEAR Level-2-260C-1 YEAR Level-2-260C-1 YEAR Level-2-260C-1 YEAR Level-2-260C-1 YEAR Level-2-260C-1 YEAR Level-2-260C-1 YEAR (1) The marketing status values are defined as follows: ACTIVE: Product device recommended for new designs. LIFEBUY: TI has announced that the device will be discontinued, and a lifetime-buy period is in effect. NRND: Not recommended for new designs. Device is in production to support existing customers, but TI does not recommend using this part in a new design. PREVIEW: Device has been announced but is not in production. Samples may or may not be available. OBSOLETE: TI has discontinued the production of the device. (2) Eco Plan - The planned eco-friendly classification: Pb-Free (RoHS), Pb-Free (RoHS Exempt), or Green (RoHS & no Sb/Br) - please check for the latest availability information and additional product content details. TBD: The Pb-Free/Green conversion plan has not been defined. Pb-Free (RoHS): TI's terms "Lead-Free" or "Pb-Free" mean semiconductor products that are compatible with the current RoHS requirements for all 6 substances, including the requirement that lead not exceed 0.1% by weight in homogeneous materials. Where designed to be soldered at high temperatures, TI Pb-Free products are suitable for use in specified lead-free processes. Pb-Free (RoHS Exempt): This component has a RoHS exemption for either 1) lead-based flip-chip solder bumps used between the die and package, or 2) lead-based die adhesive used between the die and leadframe. The component is otherwise considered Pb-Free (RoHS compatible) as defined above. Green (RoHS & no Sb/Br): TI defines "Green" to mean Pb-Free (RoHS compatible), and free of Bromine (Br) and Antimony (Sb) based flame retardants (Br or Sb do not exceed 0.1% by weight in homogeneous material) (3) MSL, Peak Temp. -- The Moisture Sensitivity Level rating according to the JEDEC industry standard classifications, and peak solder temperature. Important Information and Disclaimer:The information provided on this page represents TI's knowledge and belief as of the date that it is provided. TI bases its knowledge and belief on information provided by third parties, and makes no representation or warranty as to the accuracy of such information. Efforts are underway to better integrate information from third parties. TI has taken and continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals. TI and TI suppliers consider certain information to be proprietary, and thus CAS numbers and other limited information may not be available for release. In no event shall TI's liability arising out of such information exceed the total purchase price of the TI part(s) at issue in this document sold by TI to Customer on an annual basis. Addendum-Page 1

24 MECHANICAL DATA MTQF019A JANUARY 1995 REVISED JANUARY 1998 PFB (S-PQFP-G48) PLASTIC QUAD FLATPACK 0,27 0,50 0,08 M 0, ,13 NOM 1,05 0,95 5,50 TYP 7,20 6,80 9,20 8,80 SQ SQ 0,05 MIN 0,25 Gage Plane 0 7 Seating Plane 0,75 0,45 1,20 MAX 0, / B 10/96 NOTES: A. All linear dimensions are in millimeters. B. This drawing is subject to change without notice. C. Falls within JEDEC MS-026

25 IMPORTANT NOTICE Texas Instruments Incorporated and its subsidiaries (TI) reserve the right to make corrections, modifications, enhancements, improvements, and other changes to its products and services at any time and to discontinue any product or service without notice. Customers should obtain the latest relevant information before placing orders and should verify that such information is current and complete. All products are sold subject to TI s terms and conditions of sale supplied at the time of order acknowledgment. TI warrants performance of its hardware products to the specifications applicable at the time of sale in accordance with TI s standard warranty. Testing and other quality control techniques are used to the extent TI deems necessary to support this warranty. Except where mandated by government requirements, testing of all parameters of each product is not necessarily performed. TI assumes no liability for applications assistance or customer product design. Customers are responsible for their products and applications using TI components. To minimize the risks associated with customer products and applications, customers should provide adequate design and operating safeguards. TI does not warrant or represent that any license, either express or implied, is granted under any TI patent right, copyright, mask work right, or other TI intellectual property right relating to any combination, machine, or process in which TI products or services are used. Information published by TI regarding third-party products or services does not constitute a license from TI to use such products or services or a warranty or endorsement thereof. Use of such information may require a license from a third party under the patents or other intellectual property of the third party, or a license from TI under the patents or other intellectual property of TI. Reproduction of information in TI data books or data sheets is permissible only if reproduction is without alteration and is accompanied by all associated warranties, conditions, limitations, and notices. Reproduction of this information with alteration is an unfair and deceptive business practice. TI is not responsible or liable for such altered documentation. Resale of TI products or services with statements different from or beyond the parameters stated by TI for that product or service voids all express and any implied warranties for the associated TI product or service and is an unfair and deceptive business practice. TI is not responsible or liable for any such statements. Following are URLs where you can obtain information on other Texas Instruments products and application solutions: Products Applications Amplifiers amplifier.ti.com Audio /audio Data Converters dataconverter.ti.com Automotive /automotive DSP dsp.ti.com Broadband /broadband Interface interface.ti.com Digital Control /digitalcontrol Logic logic.ti.com Military /military Power Mgmt power.ti.com Optical Networking /opticalnetwork Microcontrollers microcontroller.ti.com Security /security Telephony /telephony Video & Imaging /video Wireless /wireless Mailing Address: Texas Instruments Post Office Box Dallas, Texas Copyright 2006, Texas Instruments Incorporated

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

查询 UA733 供应商 The A733M is obsolete and no longer supplied. 200-MHz Bandwidth 250-kΩ Input Resistance 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 SLFS027B NOVEMBER 1970

查询 UA733 供应商 The A733M is obsolete and no longer supplied. 200-MHz Bandwidth 250-kΩ Input Resistance 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 SLFS027B NOVEMBER 1970 查询 UA733 供应商 2-MHz Bandwidth 25-kΩ Input Resistance 捷多邦, 专业 PCB 打样工厂,2 小时加急出货 Selectable Nominal Amplification of 1, 1, or No Frequency Compensation Required A733C...D, N, OR NS PACKAGE A733M...J PACKAGE

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

HCD0174_2008

HCD0174_2008 Reliability Laboratory Page: 1 of 5 Date: December 23, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

Microsoft Word - HC20138_2010.doc

Microsoft Word - HC20138_2010.doc Page: 1 of 7 Date: April 26, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft PowerPoint - STU_EC_Ch07.ppt

Microsoft PowerPoint - STU_EC_Ch07.ppt 樹德科技大學資訊工程系 Chapter 7: Flip-Flops and Related Devices Shi-Huang Chen Fall 2010 1 Outline Latches Edge-Triggered Flip-Flops Master-Slave Flip-Flops Flip-Flop Operating Characteristics Flip-Flop Applications

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

QQGQ2.E Power Supplies, Information Technology Equipment Including Ele... 1/10

QQGQ2.E Power Supplies, Information Technology Equipment Including Ele... 1/10 QQGQ2.E232014 - Power Supplies, Information Technology Equipment Including Ele... 1/10 QQGQ2.E232014 Power Supplies, Information Technology Equipment Including Electrical Business Equipment - Component

More information

查询 ADS8327 供应商 FEATURES 2.7-V to 5.5-V Analog Supply, Low Power: 10.6 mw (+VA = 2.7 V, +VBD = 1.8 V) 500-kHz Sampling Rate Excellent DC Performance ±1

查询 ADS8327 供应商 FEATURES 2.7-V to 5.5-V Analog Supply, Low Power: 10.6 mw (+VA = 2.7 V, +VBD = 1.8 V) 500-kHz Sampling Rate Excellent DC Performance ±1 查询 供应商 FEATURES 2.7-V to 5.5-V Analog Supply, Low Power: 10.6 mw (+VA = 2.7 V, +VBD = 1.8 V) 500-kHz Sampling Rate Excellent DC Performance ±1.2 LSB Typ, ±2 LSB Max INL ±0.6 LSB Typ, ±1 LSB Max DNL APPLICATIONS

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

HC70245_2008

HC70245_2008 Reliability Laboratory Page: 1 of 6 Date: September 5, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

(Microsoft Word W Technical document for \300\366\304\313.doc)

(Microsoft Word W Technical document for \300\366\304\313.doc) 1/15 V ALTIS-3535-3W-W-V Technical Document Features...2 Application...2 Environmental Compliance...2 Absolute Maximum Ratings...3 Flux Characteristics (Tj=50, IF=700mA)...4 Mechanical Dimension...5 Pad

More information

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 关 于 瓶 装 水, 你 不 得 不 知 的 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 干 净 安 全 健 康 的, 广 告 传 递

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

bingdian001.com

bingdian001.com .,,.,!, ( ), : r=0, g=0, ( ). Ok,,,,,.,,. (stackup) stackup, 8 (4 power/ground 4,sggssggs, L1, L2 L8) L1,L4,L5,L8 , Oz Oz Oz( )=28.3 g( ), 1Oz, (DK) Cx Co = Cx/Co = - Prepreg/Core pp,,core pp,, pp.,, :,,

More information

Table of Contents Power Film Capacitors Power Film Capacitors Series Table Product Type Series Voltage Capacitance() Page DC-Link Power Film Capacitors Power Film Capacitors Power Film Capacitors Power

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d WeChat Search Visual Identity Guidelines WEDESIGN 2018. 04 Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

untitled

untitled Bussmann 电路保护解决方案 Circuit Protection Solutions General Introduction Bussmann... innovative circuit protection Circuit Overload...Electrical and electronic systems can experience it. And so can people.

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

OA-253_H1~H4_OL.ai

OA-253_H1~H4_OL.ai WARNINGS Note: Read ALL the following BEFORE using this product. Follow all Guidelines at all times while using this product. CAUTION This warning indicates possibility of personal injury and material

More information

Microsoft Word - VA REV.A.doc

Microsoft Word - VA REV.A.doc Data Sheet Customer: Product : Multilayer Chip Varistor - VA Series Size: 0402/0405/0508/0603/0612/0805/1206/1210/1812 /2220 Issued Date: 22-Jan-11 Edition : REV.A VIKING TECH CORPORATION 光頡科技股份有限公司 No.70,

More information

Chn 116 Neh.d.01.nis

Chn 116 Neh.d.01.nis 31 尼 希 米 书 尼 希 米 的 祷 告 以 下 是 哈 迦 利 亚 的 儿 子 尼 希 米 所 1 说 的 话 亚 达 薛 西 王 朝 二 十 年 基 斯 流 月 *, 我 住 在 京 城 书 珊 城 里 2 我 的 兄 弟 哈 拿 尼 和 其 他 一 些 人 从 犹 大 来 到 书 珊 城 我 向 他 们 打 听 那 些 劫 后 幸 存 的 犹 太 人 家 族 和 耶 路 撒 冷 的 情 形

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information

* RRB *

* RRB * *9000000000RRB0010040* *9000000000RRB0020040* *9000000000RRB0030040* *9000000000RRB0040040* *9000000000RRC0010050* *9000000000RRC0020050* *9000000000RRC0030050* *9000000000RRC0040050* *9000000000RRC0050050*

More information

HC70044_2008

HC70044_2008 Page: 1 of 9 Date: July 10, 2008 KORENIX TECHNOLOGY CO., LTD. 9 F, NO. 100-1, MING-CHUAN RD., SHIN TIEN CITY, TAIPEI, TAIWAN The following merchandise was submitted and identified by the vendor as: Product

More information

HC20093A_2008

HC20093A_2008 Reliability Laboratory Page: 1 of 6 Date: March 5, 2008 KORENIX TECHNOLOGY., CO. FL 9, NO. 100-1, MIN-CHUAN RD. SHING TIEN CITY, TAIPEI, TAIWAN The following merchandise was submitted and identified by

More information

查询 DAC8541 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 SLAS353 DECEMBER 2001 FEATURES Micropower Operation: 250 µa at 5 V AV DD Power-On Reset to Min-Scale 16-Bit

查询 DAC8541 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 SLAS353 DECEMBER 2001 FEATURES Micropower Operation: 250 µa at 5 V AV DD Power-On Reset to Min-Scale 16-Bit 查询 DAC8541 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 FEATURES Micropower Operation: 25 µa at 5 V AV DD Power-On Reset to Min-Scale 16-Bit Monotonic Settling Time: 1 µs to ±.3% FSR 16-Bit Parallel Interface On-Chip

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

查询 SN65LVCP23 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 SLLS554B NOVEMBER 2002 REVISED JUNE 2003 FEATURES High Speed 2x2 LVPECL Crosspoint Switch LVDS

查询 SN65LVCP23 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货   SLLS554B NOVEMBER 2002 REVISED JUNE 2003 FEATURES High Speed 2x2 LVPECL Crosspoint Switch LVDS 查询 SN65LVCP23 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 FEATURES High Speed 2x2 LVPECL Crosspoint Switch LVDS Crosspoint Switch Available in SN65LVCP22 50 ps (Typ), of Peak-to-Peak Jitter With PRBS = 2 23 1 Pattern

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

Rotary Switch Catalogue

Rotary Switch Catalogue Rotary Switches RS300/400/500 Series Outline Our RS series embody the manufacturing history of our company. All series are sturdy and solid with high dependability designed for control units of plants,

More information

ch_code_infoaccess

ch_code_infoaccess 地 產 代 理 監 管 局 公 開 資 料 守 則 2014 年 5 月 目 錄 引 言 第 1 部 段 數 適 用 範 圍 1.1-1.2 監 管 局 部 門 1.1 紀 律 研 訊 1.2 提 供 資 料 1.3-1.6 按 慣 例 公 布 或 供 查 閱 的 資 料 1.3-1.4 應 要 求 提 供 的 資 料 1.5 法 定 義 務 及 限 制 1.6 程 序 1.7-1.19 公 開 資

More information

查询 ADS1230 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 ADS Bit Analog-to-Digital Converter For Bridge Sensors SBAS366 OCTOBER 2006 FEATURES DESCRIPTION Comp

查询 ADS1230 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 ADS Bit Analog-to-Digital Converter For Bridge Sensors SBAS366 OCTOBER 2006 FEATURES DESCRIPTION Comp 查询 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 2-Bit Analog-to-Digital Converter For Bridge Sensors FEATURES DESCRIPTION Complete Front-End for Bridge Sensor The is a precision 2-bit analog-to-digital Onboard PGA with

More information

2005 5,,,,,,,,,,,,,,,,, , , 2174, 7014 %, % 4, 1961, ,30, 30,, 4,1976,627,,,,, 3 (1993,12 ),, 2

2005 5,,,,,,,,,,,,,,,,, , , 2174, 7014 %, % 4, 1961, ,30, 30,, 4,1976,627,,,,, 3 (1993,12 ),, 2 3,,,,,, 1872,,,, 3 2004 ( 04BZS030),, 1 2005 5,,,,,,,,,,,,,,,,, 1928 716,1935 6 2682 1928 2 1935 6 1966, 2174, 7014 %, 94137 % 4, 1961, 59 1929,30, 30,, 4,1976,627,,,,, 3 (1993,12 ),, 2 , :,,,, :,,,,,,

More information

Product Type Batteries (only) Circuit Breatkers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC N

Product Type Batteries (only) Circuit Breatkers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC N 1201 South Second Street Milwaukee, Wisconsin U.S.A. 53204 Tel 414-382-2000 1 July 2016 RE: China Restriction of Hazardous Substances (RoHS) Dear Customer, Rockwell Automation is committed to demonstrating

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

6 4 6 5 5 2 2 3 1 2 3 1 6 6 6 6 5 5 5 2 2 4 126% * * GOLD COAST OFFICE. Cnr 2681 Gold Coast Highway and Elizabeth Avenue, Broadbeach Queensland 4218 PHONE 07 5531 8188 www.emandar.com.au Whilst every

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

Microsoft PowerPoint _代工實例-1

Microsoft PowerPoint _代工實例-1 4302 動態光散射儀 (Dynamic Light Scattering) 代工實例與結果解析 生醫暨非破壞性分析團隊 2016.10 updated Which Size to Measure? Diameter Many techniques make the useful and convenient assumption that every particle is a sphere. The

More information

Product Type Batteries (only) Circuit Breakers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC Ne

Product Type Batteries (only) Circuit Breakers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC Ne 1201 South Second Street Milwaukee, Wisconsin U.S.A. 53204 Tel 414-382-2000 1 July 2016 RE: China Restriction of Hazardous Substances (RoHS) Dear Customer, Rockwell Automation is committed to demonstrating

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275])

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275]) 股 票 代 號 :1216 TT 2015 全 年 度 業 績 發 佈 (2016.4.11 更 新 ) Disclaimers The information contained in this presentation is intended solely for your personal reference. Such information is subject to change without

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

致 谢 开 始 这 篇 致 谢 的 时 候, 以 为 这 是 最 轻 松 最 愉 快 的 部 分, 而 此 时 心 头 却 充 满 了 沉 甸 甸 的 回 忆 和 感 恩, 一 时 间 竟 无 从 下 笔 虽 然 这 远 不 是 一 篇 完 美 的 论 文, 但 完 成 这 篇 论 文 要 感 谢

致 谢 开 始 这 篇 致 谢 的 时 候, 以 为 这 是 最 轻 松 最 愉 快 的 部 分, 而 此 时 心 头 却 充 满 了 沉 甸 甸 的 回 忆 和 感 恩, 一 时 间 竟 无 从 下 笔 虽 然 这 远 不 是 一 篇 完 美 的 论 文, 但 完 成 这 篇 论 文 要 感 谢 中 国 科 学 技 术 大 学 博 士 学 位 论 文 论 文 课 题 : 一 个 新 型 简 易 电 子 直 线 加 速 器 的 关 键 技 术 研 究 学 生 姓 名 : 导 师 姓 名 : 单 位 名 称 : 专 业 名 称 : 研 究 方 向 : 完 成 时 间 : 谢 家 麟 院 士 王 相 綦 教 授 国 家 同 步 辐 射 实 验 室 核 技 术 及 应 用 加 速 器 物 理 2006

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63>

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63> 2010 年 理 工 类 AB 级 阅 读 判 断 例 题 精 选 (2) Computer mouse How does the mouse work? We have to start at the bottom, so think upside down for now. It all starts with mouse ball. As the mouse ball in the bottom

More information

( ) ( ) ( ) 1. OEM/ODM ANSI Z89.1 EN GB ANSI S

( ) ( ) ( ) 1. OEM/ODM ANSI Z89.1 EN GB ANSI S (2005-03-23) (2005-03-23) (2005-04-01) 1. OEM/ODM ANSI Z89.1 EN 397-1995 GB 2812-89 ANSI S.3.19-1974 1 2. 2.1 ANSI Z89.1 (1) 1.524 19.36~19.86kg.m/s 5.52m/s (2) 3.54~3.64kg 48 0.8mm 76mm (3) 0~4450N(0~1000lb)

More information

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi R&D of a Large Format Hybrid Photo-Detector (HPD) for a Next Generation Water Cherenkov Detector Tokyo - HPK joint R&D program H.Aihara University of Tokyo HPK =Hamamatsu Photonics 1 presented at Next

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

Microsoft PowerPoint - TTCN-Introduction-v5.ppt

Microsoft PowerPoint - TTCN-Introduction-v5.ppt Conformance Testing and TTCN 工研院無線通訊技術部林牧台 / Morton Lin 03-5912360 mtlin@itri.org.tw 1 Outline Introduction and Terminology Conformance Testing Process 3GPP conformance testing and test cases A real world

More information

Microsoft Word - Lenovo_L15M3PB2 (Winbook)_3S1P_UN38.3 Test Report_SLEU

Microsoft Word - Lenovo_L15M3PB2 (Winbook)_3S1P_UN38.3 Test Report_SLEU Lithium-ion Battery UN38.3 Test Report Recommendations on the TRANSPORT OF DANGEROUS GOODS (Manual of Tests and Criteria, Fifth revised edition, Amend.2) Customer: Lenovo Model: L15M3PB2 Rating: 11.25V,

More information

入學考試網上報名指南

入學考試網上報名指南 入 學 考 試 網 上 報 名 指 南 On-line Application Guide for Admission Examination 16/01/2015 University of Macau Table of Contents Table of Contents... 1 A. 新 申 請 網 上 登 記 帳 戶 /Register for New Account... 2 B. 填

More information

查询 PA 供应商 a FEATURES AC PERFORMANCE Small Signal Bandwidth: 80 MHz (A V = 1) Slew Rate: 450 V/ s Full Power Bandwidth: 6.8 MHz at 20 V p-p

查询 PA 供应商 a FEATURES AC PERFORMANCE Small Signal Bandwidth: 80 MHz (A V = 1) Slew Rate: 450 V/ s Full Power Bandwidth: 6.8 MHz at 20 V p-p 查询 5962-8964601PA 供应商 a FEATURES AC PERFORMANCE Small Signal Bandwidth: 80 MHz (A V = 1) Slew Rate: 450 V/ s Full Power Bandwidth: 6.8 MHz at 20 V p-p, R L = 500 Fast Settling: for 10 V Step: 110 ns to

More information

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc Terms and conditions: 1. The extra 5 Membership Rewards points promotion at SOGO ( the Promotion Offer ) is valid for spending only at SOGO Department Store at Causeway Bay and Tsim Sha Tsui within the

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

Microsoft Word - MWRF_Components.doc

Microsoft Word - MWRF_Components.doc Microwave Microwave/RF Components The public WeChat ID: 英联微波 Scan the qr code to follow : Pin Switch Coupler Filter Hybrid Power Divider Review www.ainfoinc.com for updated product info. Chengdu A Inc.

More information

Microsoft PowerPoint - ryz_030708_pwo.ppt

Microsoft PowerPoint - ryz_030708_pwo.ppt Long Term Recovery of Seven PWO Crystals Ren-yuan Zhu California Institute of Technology CMS ECAL Week, CERN Introduction 20 endcap and 5 barrel PWO crystals went through (1) thermal annealing at 200 o

More information

has become a rarity. In other words, the water resources that supply the needs in Taiwan depend crucially on the reservoirs built at least more than t

has become a rarity. In other words, the water resources that supply the needs in Taiwan depend crucially on the reservoirs built at least more than t 臺 灣 水 利 第 64 卷 第 1 期 民 國 105 年 3 月 出 版 Taiwan Water Conservancy Vol. 64, No. 1, March 2016 論 台 灣 水 資 源 開 發 的 必 要 性 The Essentiality of Water Resource Development in Taiwan * 虞 國 興 GWO-HSING YU 淡 江 大 學

More information

Semiconductor Components Industries, LLC, 2002 January, 2002 Rev. 3 1 Publication Order Number: LM317/D 查询 LM317BD2T 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 Th

Semiconductor Components Industries, LLC, 2002 January, 2002 Rev. 3 1 Publication Order Number: LM317/D 查询 LM317BD2T 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 Th Semiconductor Components Industries, LLC, 2002 January, 2002 Rev. 3 1 Publication Order Number: /D 查询 BD2T 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 The is an adjustable 3terminal positive voltage regulator capable

More information

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D>

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D> Homeworks ( 第 三 版 ):.4 (,, 3).5 (, 3).6. (, 3, 5). (, 4).4.6.7 (,3).9 (, 3, 5) Chapter. Number systems and codes 第 一 章. 数 制 与 编 码 . Overview 概 述 Information is of digital forms in a digital system, and

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information