Microsoft PowerPoint - lec11 [兼容模式]

Size: px
Start display at page:

Download "Microsoft PowerPoint - lec11 [兼容模式]"

Transcription

1 代码生成

2 代码生成 代码生成的输入 - 各种中间代码形式 目标代码与目标机器模型 简单的代码生成器 基本块 DAG 图及代码生成

3 目标代码 绝对地址目标代码 可重定位的目标 - linker/loader 汇编代码 - assembler

4 目标机器模型 指令形式 op 源, 目的 寻址模式 - 绝对地址 :op M, R R op (M) R - 寄存器 :op R1,R2 R2 op R1 R2 - 变址 :op R1,c(R2) (c+r2) op R1 (c+r2) - 间接变址 间接寄存器 - 直接量 op $C, R R + C R

5 简单代码生成器 寄存器描述记录寄存器的使用情况, 即某寄存器中存放的是哪 ( 些 ) 个名字 ( 变量 ) 的值 名字地址描述名字 ( 变量 ) 的当前值的存放场所, 如放在寄存器或主存 ( 数据区 ) 或者栈里等

6 简单代码生成器 ( 续 ) 代码生成算法 对基本块中三地址代码,p: x := y op z, 1) 调用函数 getreg( ), 返回存放计算结果的场所 L( 一般为寄存器 R, 也可能是存储单元 ); 2) 若 y 的值不在 L 中, 产生指令 :mov y, L ( 查 y 的名字地址描述获得 y 值的存放场所 y ); 3) 产生指令 :op z,l ( z 是 z 值的存放场所 ), 修改 x 的名字描述和相关寄存器描述 ; 4) 若 y 和 / 或 z 在 p 之后不再引用 出口不活跃且其值在寄存器中, 则修改其相应寄存器和名字地址描述 ; 5) 在块出口处, 将所有活跃名字值刷新到相应存储单元

7 简单代码生成器 ( 续 ) - 函数 getreg(p:x := y op z): 返回计算结果存放场所 L, 1) 若某寄存器 R 仅含 y 的值且 p 后不再引用和不活跃, 则返回 R;( 好处是可以省掉装载 y 值的指令 mov y,l) 2) 返回某个空闲寄存器 R; 3) 若 x 必须使用寄存器, 则此时 抢占 某个寄存器 R 查看 R 的描述, 如果名字 a 的值在 R 中则产生转储指令 mov R,Ma (Ma:a 的存储单元 ), 并修改相应的描述 ;( 关键是如何抢占及剥夺哪些名字的寄存器使用权 ) 4) 使用 x 的存储单元

8 e.g.1 简单代码生成 三地址码序列 : t := a b u := a + c v := t + u w:= v + u 可用寄存器 R0,R1 初始, 名字 a b 和 c 的值均在相应存储单元中

9 e.g.1 简单代码生成 TAC 目标代码 REG NAME t:=a-b mov a, R0 sub b, R0 R0 含 t t 在 R0 u:=a+c mov a, R1 R0 含 t t 在 R0 add c, R1 R1 含 u u 在 R1 v:=t+u add R1, R0 R0 含 v v 在 R0 R1 含 u u 在 R1 w:=v+u add R1, R0 R0 含 w w 在 R0

10 其它语句的代码生成语句 i 在 R i i 在 M i i 在栈中 a := b[i] mov b(r i ),R mov M i,r mov S i (bp),r mov b(r),r mov b(r),r a[i] := b mov b,a(r i ) mov M i,r mov S i (bp),r mov b,a(r) mov b,a(r) S i 是 i 在栈中偏移,bp 是当前活动记录基址 指针操作语句 :a := * b *a := b

11 转移语句 goto X JMP X if x op y goto z - 根据寄存器内容是否满足以下条件 : 负 零 正 非负 非零 非正如 if x < y goto z : y x R 判别 R 非负 ( 实施转移 ) - 根据条件码转移如 if x < y goto z : cmp x, y jg z // 若 y > x 则转 z

12 AT&T 汇编简介

13 语法 INSTR Source, Dest e.g. movl (%ecx), %eax addl $1, %edx

14 前缀与后缀 % -- 寄存器前缀, 如 %eax, %ebp $ -- 立即数前缀, 如, $100( 十进制 ), $0x99( 十六进制 ) 后缀 l, w, b -- 操作数大小, 对应 long, word 和 byte, 如, movl %ebx, %ecx movb %bl, %al

15 内存寻址方式 section : disp ( base, index, scale ) 计算方式如下 : base + index * scale + disp section/disp/index/scale( 包括 base) 均可缺省 section 用于实模式下 如, addl (%ebx,%ecx,0x2), %edx (%ebx+%ecx*0x2)+%edx %edx subl 0x20( %eax,%ecx,0x4), %ebx %ebx - (%eax+%ecx*0x4+0x20) %ebx

16 内存寻址方式 leal (%ebx, %ecx), %eax %ebx + %ecx %eax 这里 scale 缺省为 1 scale 和 disp 中的立即数不加前缀 $

17 常用汇编指令 addl, subl, movl, sall pushl, popl, leave, ret leal, nop, incl jmp, jle 等条件转移指令

18 C 语句 i = i * 10 对应汇编码 movl -4(%ebp),%edx // 取变量 i 的值到寄存器 %edx movl %edx,%eax sall $2,%eax // 左移寄存器 %eax 2 位, %eax == 4 * i addl %edx,%eax // %eax == 5 * i leal 0(,%eax,2),%edx // %eax * 2 %edx, %edx == 10 * i // 为何不用 sall $1, %eax movl %edx,-4(%ebp) // 10 * i i

19 e.g 问题 main() { long i; i = 0; //printf("%ld\n", (i=i+1)+(i=i+1)+(i=i+1)); case 1 //printf("%ld\n", (++i)+(++i)+(++i)); case 2 //printf("%ld\n", (i++)+(i++)+(i++)); case 3 return 0; }

20 case 1 case 2 case 3 movl $0,-4(%ebp) movl -4(%ebp),%edx incl %edx movl %edx,%eax movl %eax,-4(%ebp) movl -4(%ebp),%edx incl %edx movl %edx,%ecx movl %ecx,-4(%ebp) addl %ecx,%eax movl -4(%ebp),%edx incl %edx movl %edx,%ecx movl %ecx,-4(%ebp) addl %ecx,%eax pushl %eax pushl $.LC0 call printf movl $0,-4(%ebp) incl -4(%ebp) incl -4(%ebp) movl -4(%ebp),%eax movl -4(%ebp),%edx addl %edx,%eax incl -4(%ebp) addl -4(%ebp),%eax pushl %eax pushl $.LC0 call printf movl $0,-4(%ebp) movl -4(%ebp),%eax movl -4(%ebp),%edx addl %edx,%eax movl %eax,%edx addl -4(%ebp),%edx pushl %edx incl -4(%ebp) incl -4(%ebp) incl -4(%ebp) pushl $.LC0 call printf

21 基本块的 DAG 图示 基本块内优化变换 合并已知量 删除冗余运算 - 公共子表达式 删除死代码

22 基本块 DAG 构造 ( 不考虑别名 数组或指针 ) 对于每条语句 :x := y op z (1) 分别寻找代表 y 或 z 的当前值的结点, 若没有的话, 构造它们的初始结点 ; (2) 利用已有的算符 op 的结点或新建一个 op 结点 ( 左 右子树分别标记为 y 和 z), 将 x 标记在旁边 ; (3) 如果 x 在其他结点边上有标记 (x 0 -x 的初始值除外 ), 则去除这个标记 ; (4)x := y, 不必建立新结点而将 x 标记在 y 对应的结点旁

23 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 (10) if i <= 20 goto (1) * t1 4 i 0

24 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := I + 1 (9) i := t7 =[] t2 * t1 (10) if i <= 20 goto (1) a 4 i 0

25 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 =[] t2 * t1,t3 (10) if i <= 20 goto (1) a 4 i 0

26 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 =[] t4 =[] t2 * t1,t3 (10) if i <= 20 goto (1) a b 4 i 0

27 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 * t5 =[] t4 =[] t2 * t1,t3 (10) if i <= 20 goto (1) a b 4 i 0

28 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 + t6 prod 0 * t5 =[] t4 =[] t2 * t1,t3 (10) if i <= 20 goto (1) a b 4 i 0

29 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 + t6,prod prod 0 * t5 =[] t4 =[] t2 * t1,t3 (10) if i <= 20 goto (1) a b 4 i 0

30 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 + t6,prod prod 0 * t5 =[] t4 =[] t2 * t1,t3 (10) if i <= 20 goto (1) a b 4 i 0 + t7 1

31 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 + t6,prod prod 0 * t5 =[] t4 =[] t2 * t1,t3 (10) if i <= 20 goto (1) a b 4 i 0 + t7,i 1

32 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 + t6,prod prod 0 * t5 =[] t4 =[] t2 * t1,t3 (10) if i <= 20 goto (1) a b 4 i 0 <= (1) + t7,i 20 1

33 基本块 DAG 构造 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t3 := 4 * i (4) t4 := b [ t3 ] (5) t5 := t2 * t4 (6) t6 := prod + t5 (7) prod := t6 (8) t7 := i + 1 (9) i := t7 (10) if i <= 20 goto (1) DAG 优化后 (1) t1 := 4 * i (2) t2 := a [ t1 ] (3) t4 := b [ t1 ] (4) t5 := t2 * t4 (5) prod := prod + t5 (6) i := i + 1 (7) if i <= 20 goto (1)

34 基本块 DAG 构造 特殊情况下 ( 副作用 ) 注销节点 -- 数组元素指针访问过程调用多变量共享存贮

35 基本块 DAG 构造 x := a[ i ] a[ j ] := y z := a[ i ] DAG 优化后 x := a[ i ] z := x a[ j ]:= y 但如果在 i=j 且 a[i] y 时, 变换前后语义不等价 解决方案 : 在构造 a[ i ] 或 a[ j ] 时, 注销所有 []= 或 =[] 节点, 即不利用已有节点 ( 做为公共子表达式 ), 而构造一个新的节点

36 由 DAG 生成代码 DAG 中节点重新排序 ( 计算次序 )- 启发式排序算法 树最优代码生成 ( 略 )

37 DAG 启发式排序算法 while 还有未列出的内部节点 do { 选一个没有列出的内部节点 n, 其所有父节点均已列出 ; 列出 n; while n 的最左子节点 m 的所有父节点均已列出而且 m 不是叶子节点 do { 列出 m; n := m; } } 列出节点次序的逆序即为节点的最终计算次序

38 e.g. DAG 节点排序 * * c 7 d 11 e 12 a 9 b 10 计算次序 :

Static Enforcement of Security with Types

Static Enforcement of Security with Types 例题 1 一个 C 语言程序及其在 X86/Linux 操作系统上的编译结 果如下 根据所生成的汇编程序来解释程序中四个变 量的存储分配 生存期 作用域和置初值方式等方面 的区别 static long aa = 10; short bb = 20; func( ) { } static long cc = 30; short dd = 40; static long aa = 10; func(

More information

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx 第五讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 4 章寻址方式与指令系统 1 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 2 指令的组成 指令由操作码和操作数两部分组成 操作码操作数 MOV AX, 8726H ADD AX,

More information

gcc 对整型和浮点型参数传递的汇编码生成特点分析 张昱 1. 相关资料 关于浮点数 (Floating-point) 的存储表示 : 浮点数的存储目前广泛采用 IEEE 754 标准 (1980 年 Intel 提出, 1985 年被 IEEE 采纳,

gcc 对整型和浮点型参数传递的汇编码生成特点分析 张昱 1. 相关资料 关于浮点数 (Floating-point) 的存储表示 : 浮点数的存储目前广泛采用 IEEE 754 标准 (1980 年 Intel 提出, 1985 年被 IEEE 采纳, gcc 对整型和浮点型参数传递的汇编码生成特点分析 张昱 1. 相关资料 关于浮点数 (Floating-point) 的存储表示 : 浮点数的存储目前广泛采用 IEEE 754 标准 (1980 年 Intel 提出, 1985 年被 IEEE 采纳,http://babbage.cs.qc.edu/courses/cs341/IEEE-754references.html ) 32 位单精度 :Bit

More information

乌鲁木齐城市交通改善项目Ⅱ

乌鲁木齐城市交通改善项目Ⅱ 世 界 银 行 贷 款 发 展 新 疆 职 业 教 育 项 目 少 数 民 族 发 展 行 动 计 划 ( 预 评 估 稿 ) 乌 鲁 木 齐 长 治 久 安 社 会 经 济 咨 询 有 限 公 司 乌 鲁 木 齐 中 国 二 〇 一 四 年 十 一 月 少 数 民 族 发 展 行 动 计 划 总 负 责 人 : 李 泽 ( 新 疆 社 会 科 学 院 ) 少 数 民 族 发 展 行 动 计 划 主

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

大侠素材铺

大侠素材铺 编译原理与技术 中间代码生成 Ⅰ 计算机科学与技术学院 李诚 12/11/2018 关于课程实验 目标 : 为 PL0 语言实现一个简单的编译器 Project 1: 词法分析 Project 2: 语法分析 Project 3: 语法错误处理 + 对前两个 project 的扩展, 11.15 release,11.30 提交 Project 4: 代码生成,12.1 release,12.15

More information

最新执法工作手册(九十八)

最新执法工作手册(九十八) ..................... I ...................................... II ............................... III ' ' 24 9 11 [2000]25 12 2001 1 20 ?br>

More information

编译原理与技术

编译原理与技术 编译原理与技术 中间代码生成 2015/11/7 编译原理与技术 讲义 1 中间代码生成 - 布尔表达式翻译 - 控制流语句翻译 2015/11/7 编译原理与技术 讲义 2 布尔表达式的翻译 布尔表达式文法 G 4 E E 1 or E 2 E 1 and E 2 not E 1 ( E 1 ) id 1 relop id 2 true false id 3 布尔运算符 or and 和 not(

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

Microsoft Word - 第三章第一節第二節.doc

Microsoft Word - 第三章第一節第二節.doc 原 臺 中 刑 務 所 典 獄 長 官 舍 第 三 章 臺 中 刑 務 所 典 獄 官 建 築 研 究 與 調 查 第 一 節 建 築 特 色 及 考 證 一 日 治 時 期 臺 灣 官 舍 建 築 特 色 分 析 - 以 臺 中 市 西 區 為 例 96 ( 一 ) 臺 灣 總 督 府 官 舍 制 度 日 治 初 期 臺 灣 總 督 府 為 從 日 本 內 地 招 募 各 種 官 吏 來 到 臺

More information

untitled

untitled TT...1 TT...6 TT...13 TT...21 TT...22 TT...23 TT...25 TT...25 TT...32 TT...33 TT...33 TT...34 TT...38 T...40T TT...44 TT...46 TT...47 TT...49 TT...51 TT...53 TT...53 TT...54 TT...54 TT...54 TT...55 ,,,,,,,,

More information

3 程序的机器级表示 2017 年 3 月 11 日 11: 计算机执行机器代码, 用字节序列编码低级的操作, 包括处理数据 管理存储器 读写存储在设备上的数据, 以及利用网络通信 通常情况下, 现代的优化编译器产生的代码至少与一个熟练的汇编语言程序员手工编写的代码一样有

3 程序的机器级表示 2017 年 3 月 11 日 11: 计算机执行机器代码, 用字节序列编码低级的操作, 包括处理数据 管理存储器 读写存储在设备上的数据, 以及利用网络通信 通常情况下, 现代的优化编译器产生的代码至少与一个熟练的汇编语言程序员手工编写的代码一样有 3 程序的机器级表示 2017 年 3 月 11 日 11:29 2. 3. 4. 计算机执行机器代码, 用字节序列编码低级的操作, 包括处理数据 管理存储器 读写存储在设备上的数据, 以及利用网络通信 通常情况下, 现代的优化编译器产生的代码至少与一个熟练的汇编语言程序员手工编写的代码一样有效 用高级语言编写的程序可以在很多不同的机器上编译和执行, 而汇编代码则是与特定机器密切相关的 学习机器代码的意义在于,

More information

1 CPU interrupt INT trap CPU exception

1 CPU interrupt INT trap CPU exception 1 CPU interrupt INT trap CPU exception 2 X86 CPU gate 64 16 1 2 5 8 16 16 P DPL 00101 TSS 101 DPL P 1 64 16 1 2 1 1 3 3 5 16 16 16 P DPL 0 D 000 16 110 111 100 D 1=32 0=16 DPL P 1 INT DPL1>=CPL>=DPL CPU

More information

资 产 种 类 市 值 ( 元 ) 占 净 资 产 银 行 活 期 存 款 8,220,340.39 0.77 中 央 银 行 票 据 0.00 0.00 一 年 期 以 内 ( 含 一 年 ) 定 期 0.00 0.00 存 款 / 协 议 存 款 流 动 性 买 入 返 售 金 融 资 产 0.

资 产 种 类 市 值 ( 元 ) 占 净 资 产 银 行 活 期 存 款 8,220,340.39 0.77 中 央 银 行 票 据 0.00 0.00 一 年 期 以 内 ( 含 一 年 ) 定 期 0.00 0.00 存 款 / 协 议 存 款 流 动 性 买 入 返 售 金 融 资 产 0. 企 业 年 金 养 老 金 产 品 管 理 情 况 报 告 ( 报 告 期 间 : 2016 年 4 月 1 日 至 6 月 30 日 ) 一 产 品 基 本 概 况 产 品 名 称 : 易 方 达 稳 健 配 置 二 号 混 合 型 养 老 金 产 品 产 品 代 码 :11B002 产 品 起 始 投 资 日 期 : 2014 年 11 月 18 日 投 资 管 理 人 : 易 方 达 基 金

More information

Microsoft Word - AT&T2_bold.doc

Microsoft Word - AT&T2_bold.doc AT&T 汇编语言与 GCC 内嵌汇编简介 版本 0.1 时间 04/3/30 EMAIL chforest_chang@hotmail.com 1 AT&T 与 INTEL 的汇编语言语法的区别...3 1.1 大小写...3 1.2 操作数赋值方向...3 1.3 前缀...3 1.4 间接寻址语法...4 1.5 后缀...4 1.6 指令...5 2 GCC 内嵌汇编...5 2.1 简介...5

More information

目 录.doc

目      录.doc 目 录 概 述 第 一 篇 资 源 第 一 章 土 地 资 源..(17) 第 二 章 气 候 资 源..(20) 第 三 章 矿 产 资 源..(22) 第 四 章 植 物 资 源..(25) 第 五 章 动 物 资 源..(27) 第 六 章 水 资 源..(29) 第 七 章 旅 游 资 源..(30) 第 八 章 劳 动 力 资 源..(34) 第 二 篇 计 划 编 制 与 执 行 第 一

More information

幻灯片 1

幻灯片 1 操作系统课程实验 Lab1:bootloader 启动 ucore os 大纲 x86 启动顺序 C 函数调用 gcc 内联汇编 (inline assembly) x86-32 下的中断处理 理解 x86-32 平台的启动过程理解 x86-32 的实模式 保护模式理解段机制 x86 启动顺序 x86 启动顺序 寄存器初始值 摘自 "IA-32 Intel 体系结构软件开发者手册 " x86 启动顺序

More information

ROP_bamboofox.key

ROP_bamboofox.key ROP Return Oriented Programming Lays @ BambooFox Who Am I Lays / L4ys / 累死 - l4ys.tw Reverse Engineering BambooFox / HITCON Outline Buffer Overflow ret2libc / ret2text Return Oriented Programming Payload

More information

Linux kernel exploit研究和探索

Linux kernel exploit研究和探索 Linux kernel exploit DOC alert7 PPT e4gle 2002-12-2 1 2002-12-2 2 Linux kernel exploit kernel exploit exploit exploit exploit (Kernel Buffer Overflow) (Kernel

More information

今天刚发现的, 比较简单, 于是就来简单分析下吧 该感染样本很简单, 新加了个区段放病毒执行代码, 执行病毒代码, 最后跳回原入口点来执行原文件 下面就是感染后的代码的简单分析 : ; =============== S U B R O U T I N E =====================

今天刚发现的, 比较简单, 于是就来简单分析下吧 该感染样本很简单, 新加了个区段放病毒执行代码, 执行病毒代码, 最后跳回原入口点来执行原文件 下面就是感染后的代码的简单分析 : ; =============== S U B R O U T I N E ===================== 吾爱破解论坛 [LCG] [LSG] 立足软件安全和病毒分析最前端, 丰富的技术版块交相辉映, 由无数加密解密及反病毒爱好者共同维护, 留给世界一抹值得百年回眸的惊 艳, 沉淀百年来计算机应用之精华与优雅, 信息线条与生活质感淡定交融, 任岁月流转, 低调而奢华的技术交流与研究却是亘古不变 标题 : 一个感染样本的简单分析 作者 :ximo 今天刚发现的, 比较简单, 于是就来简单分析下吧 该感染样本很简单,

More information

書本介紹

書本介紹 班 級 經 營 期 末 報 告 組 員 : 幼 三 甲 4A0I0030 蔡 依 璇 4A0I0048 蘇 家 儀 4A0I0096 張 容 嫣 4A0I0098 袁 少 潔 書 本 介 紹 閱 讀 對 象 : 小 學 低 年 級 的 老 師 新 生 家 長 有 意 從 事 小 學 者 及 關 心 教 育 品 質 的 社 會 人 士 內 容 : 1. 教 師 如 何 成 功 有 效 地 經 營 低

More information

漏 洞 攻 防 EXPLOIT ATTACK & DEFENCE 栏 目 编 辑 脚 本 小 子 scriptsboy@hacker.com.cn HEAD 部 分 大 小 当 然 也 就 是 固 定 的 18200H 如 果 要 提 取 出 HEAD, 我 们 可 以 选 中 前 18200H 字

漏 洞 攻 防 EXPLOIT ATTACK & DEFENCE 栏 目 编 辑 脚 本 小 子 scriptsboy@hacker.com.cn HEAD 部 分 大 小 当 然 也 就 是 固 定 的 18200H 如 果 要 提 取 出 HEAD, 我 们 可 以 选 中 前 18200H 字 适 合 读 者 : 入 侵 爱 好 者 溢 出 爱 好 者 前 置 知 识 : 汇 编 语 言 缓 冲 区 溢 出 基 本 原 理 文 / 图 何 永 强 Word 畸 形 数 据 结 构 溢 出 漏 洞 分 析 与 利 用 以 前 都 是 写 远 程 溢 出 漏 洞, 感 觉 也 该 换 换 口 味 了 事 实 上,2005 年 以 来 的 远 程 溢 出 漏 洞, 如 MS05-039 MS06-040

More information

untitled

untitled 2005...8...8...8...9...10...10...10...10...10...10...10...11...11...11...11...11...11...11...12...12...12...12...12...13...13...13...13...13...13...14...14...14...14...14...15...15...15...15...16...16

More information

1955 1 1979 1982 3 1985 7 1400 28 1939 700 525 15 300 1956 15000 15000 5000 775 31 992 1980 1982 100 1959 1000 1130 1981 1985 1982 1985 1958 1985 1957

1955 1 1979 1982 3 1985 7 1400 28 1939 700 525 15 300 1956 15000 15000 5000 775 31 992 1980 1982 100 1959 1000 1130 1981 1985 1982 1985 1958 1985 1957 24 193 5 26 1950 5 1952 1954 1952 1956 9 1957 5 3 1963 1975 12 1200 1983 4 1984 81 1985 26 136 1952 1954 1964 86 1979 1981 198 9 87 20 80 1985 768 1955 1 1979 1982 3 1985 7 1400 28 1939 700 525 15 300

More information

为 了 衡 量 一 个 算 法 时 间 效 率 上 的 优 劣, 计 算 机 科 学 中 引 入 了 时 间 复 杂 度 的 概 念 回 忆 我 们 习 惯 使 用 的 大 O 表 示 法, 我 们 说 一 个 算 法 运 行 时 间 的 界 是 O(f(n)), 所 表 示 的 意 义 是, 假

为 了 衡 量 一 个 算 法 时 间 效 率 上 的 优 劣, 计 算 机 科 学 中 引 入 了 时 间 复 杂 度 的 概 念 回 忆 我 们 习 惯 使 用 的 大 O 表 示 法, 我 们 说 一 个 算 法 运 行 时 间 的 界 是 O(f(n)), 所 表 示 的 意 义 是, 假 论 程 序 底 层 优 化 的 一 些 方 法 与 技 巧 成 都 七 中 骆 可 强 摘 要 : 本 文 以 优 化 程 序 运 行 的 时 间 效 率 为 目 地, 从 编 译 器 汇 编 代 码 CPU 特 性 等 较 为 底 层 的 概 念 着 眼, 对 程 序 优 化 进 行 了 全 方 位 的 探 讨, 总 结 了 在 优 化 中 实 用 的 思 想 原 则 方 法 和 技 巧, 并 对

More information

OptiROP:

OptiROP: OptiROP: ROP gadgets 搜寻神 器 议程 Return-Oriented-Programming(ROP) gadget & shellcode OptiROP: 期望, 思路, 设计和实现 通过语义寻找 ROP gadgets 语义 gadgets 现场演示 总结 攻击 & 防御 软件攻击 使用软件 / 设计的缺陷来利用系统 / 应用软件 通过恶意输入来触发漏洞使得攻击代码得以执行

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

untitled

untitled ...2...3...4...6...6...8...11...14...18...19...20...22...22...23...25...26...27...28...29...29...29 4-1 - ( ) 88 37 88 37 200121 2003 4 18 [2003]48 1.5 4-2 - ( ) 25 1 1 100032 2004 09 17 [1998]12 ( ) (

More information

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239>

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239> 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 学 生 工 作 部 ( 处 ) 二 〇 一 五 年 七 月 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 编 委 会 主 编 于 成 文 盛 佳 伟 编 委 ( 按 姓 氏 笔 画 排 序 ) 丁 煦 生 尹 兆 华 龙 洋 史 立 伟 曲 涛 刘 晓 东 杜 振 民 杨 雄 何 进 宋 波 张 卫 冬 张 卫 钢 张 文

More information

桂林市劳动和社会保障局关于

桂林市劳动和社会保障局关于 桂 林 市 人 力 资 源 和 社 会 保 障 局 文 件 市 人 社 发 2012 60 号 桂 林 市 人 力 资 源 和 社 会 保 障 局 关 于 2012 年 秘 书 等 十 八 个 职 业 国 家 职 业 资 格 全 国 全 区 统 一 考 试 有 关 问 题 的 通 知 各 有 关 单 位 : 根 据 自 治 区 人 力 资 源 和 社 会 保 障 厅 关 于 做 好 2012 年 国

More information

Microsoft Word 年度选拔硕博连读研究生的通知.doc

Microsoft Word 年度选拔硕博连读研究生的通知.doc 南 工 (2015) 研 字 第 3 号 关 于 选 拔 2015 年 度 硕 博 连 读 研 究 生 的 通 知 各 有 关 学 院 : 为 适 应 我 国 构 建 社 会 主 义 和 谐 社 会 和 建 设 创 新 型 国 家 的 战 略 需 要, 加 快 拔 尖 创 新 人 才 的 培 养, 我 校 决 定 继 续 推 荐 和 选 拔 一 批 基 本 素 质 好 业 务 基 础 强 品 学 兼

More information

《西游记》(一)

《西游记》(一) ! """"""! """"""!! """""" #! """""" $# """""" %# """""" &! """"""! """""" ( """""" )( """"" *( """""" (*! """"!+) """""!!* """""!#) """"""""!$ """""!%( """""!&( """"!)! """""!*$ """"!(# """"" #+# """""

More information

2015 2 104 109 110 112 113 113 113 114 1 (1) 9,654,346,443 6,388,650,779 4,183,429,633 1,183,342,128 (2) 47,710,000 41,600,000 16,600,000 13,200,000 (3), (1) 371,147,787 125,421,629 749,150,179 565,001,961

More information

银河银联系列证券投资基金

银河银联系列证券投资基金 2-1 ...3...7...8...9...15...23...25...26...27...28...35...35...38...39...39...40...45...45...46...48...50...52...53...56...58...59...59...60...61...61 2-2 1998 12 29 2004 6 8 2004 7 1 2004 6 29 2004 7

More information

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 第 3 章 8086 的寻址方式和指令系统 (1) 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 1 主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 3.1 8086 的寻址方式 两种不同的类型 : 一类是程序地址 ( 在代码段中 ) 的寻址方式 ; 另一类是操作数地址的寻址方式

More information

<4D F736F F D204C696E757820BBE3B1E0D3EFD1D4BFAAB7A2D6B8C4CF2E646F63>

<4D F736F F D204C696E757820BBE3B1E0D3EFD1D4BFAAB7A2D6B8C4CF2E646F63> Linux 汇编语言开发指南 肖文鹏 (xiaowp@263.net) 北京理工大学计算机系硕士研究生 2003 年 7 月 汇编语言的优点是速度快, 可以直接对硬件进行操作, 这对诸如图形处理等关键应用是非常重要的 Linux 是一个用 C 语言开发的操作系统, 这使得很多程序员开始忘记在 Linux 中还可以直接使用汇编这一底层语言来优化程序的性能 本文为那些在 Linux 平台上编写汇编代码的程序员提供指南,

More information

净 利 润 和 扣 除 非 经 常 性 损 益 后 归 属 于 母 公 司 股 东 的 净 利 润 分 别 为 665.08 亿 元 和 660.45 亿 元 ; 3 假 设 本 公 司 2016 年 扣 除 非 经 常 性 损 益 前 归 属 于 母 公 司 股 东 的 净 利 润 分 别 为 6

净 利 润 和 扣 除 非 经 常 性 损 益 后 归 属 于 母 公 司 股 东 的 净 利 润 分 别 为 665.08 亿 元 和 660.45 亿 元 ; 3 假 设 本 公 司 2016 年 扣 除 非 经 常 性 损 益 前 归 属 于 母 公 司 股 东 的 净 利 润 分 别 为 6 证 券 代 码 :601328 证 券 简 称 : 交 通 银 行 公 告 编 号 : 临 2016-002 关 于 交 通 银 行 股 份 有 限 公 司 发 行 优 先 股 摊 薄 即 期 回 报 及 填 补 措 施 的 公 告 交 通 银 行 股 份 有 限 公 司 ( 以 下 简 称 交 通 银 行 或 本 公 司 ) 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在

More information

游戏攻略大全(五十六).doc

游戏攻略大全(五十六).doc ...1...16...18...25...26...32 2...66... 103... 103... 104... 104 3... 112 III... 121... 121... 140... 142... 151... 152... 152 I 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27

More information

牧 者 心 聲 要 因 心 懷 平 而 作 惡 要 謹 慎 言 行 免 得 舌 頭 犯 罪 ; 惡 人 時 候 要 用 嚼 環 勒 住 口 ( 詩 三 十 九 1) 今 天 社 會 和 教 會 裏 極 其 渴 望 人 能 以 具 體 行 動 勉 勵 走 善 良 正 直 路 作 好 榜 樣 ; 可 惜

牧 者 心 聲 要 因 心 懷 平 而 作 惡 要 謹 慎 言 行 免 得 舌 頭 犯 罪 ; 惡 人 時 候 要 用 嚼 環 勒 住 口 ( 詩 三 十 九 1) 今 天 社 會 和 教 會 裏 極 其 渴 望 人 能 以 具 體 行 動 勉 勵 走 善 良 正 直 路 作 好 榜 樣 ; 可 惜 週 六 崇 拜 秩 序 2010 年 7 24 日 下 午 500 主 席 王 和 祥 牧 長 講 員 蕭 壽 華 牧 師 司 琴 沈 慧 珍 小 姐 會 眾 開 始 以 心 靈 與 實 敬 拜 進 堂 ( 主 禮 人 及 詩 班 ) 始 禮 頌 ( 歌 詞 見 第 4 頁 ) 宣 召 心 必 靠 耶 和 華 快 樂 靠 祂 救 恩 高 興 舌 頭 要 終 日 論 說 祢 公 義 時 常 讚 美 祢

More information

2. 过程 这里主要使用 gdb 来拆炸弹 当然, 用其他工具来辅助, 应该可以更高效地完成 (gdb) echo ======================= Defuse Phase_1 ==============================\n\n ==================

2. 过程 这里主要使用 gdb 来拆炸弹 当然, 用其他工具来辅助, 应该可以更高效地完成 (gdb) echo ======================= Defuse Phase_1 ==============================\n\n ================== CMU 计算机课程 Bomb Lab 拆除过程解析 Yungang Bao(baoyungang@gmail.com) 2011/10/15 CMU 的计算机系统课程 Lab 有一个是拆炸弹 : 给一个二进制 炸弹 可执行文件, 要猜对 6 条输入才不会引爆, 既有挑战又有趣味 感兴趣的朋友可以尝试一下. CMU 课程网址 :http://csapp.cs.cmu.edu/public/labs.html

More information

中 三 中 國 語 文 科 表 6.21b 中 三 各 學 習 範 疇 的 卷 別 編 排 學 習 範 疇 分 卷 題 數 評 估 時 限 閱 讀 聆 聽 寫 作 9CR1 22 9CR2 22 9CR3 22 9CL1 16 9CL2 16 9CW1 2 9CW2 2 9CW3 2 30 分 鐘

中 三 中 國 語 文 科 表 6.21b 中 三 各 學 習 範 疇 的 卷 別 編 排 學 習 範 疇 分 卷 題 數 評 估 時 限 閱 讀 聆 聽 寫 作 9CR1 22 9CR2 22 9CR3 22 9CL1 16 9CL2 16 9CW1 2 9CW2 2 9CW3 2 30 分 鐘 中國語文科 中三 2015 年全港性系統評估中學三年級成績 2015 年中三級學生在中國語文科達到基本水平的百分率為 77.2% 中學三年級評估設計 評估範疇及擬題依據 中國語文科的評估範疇包括閱讀 寫作 聆聽及說話 題目依據 中國語文課 程第三學習階段基本能力 第一試用稿 及參照 中學中國語文建議學習重 點 試用本 2001 中國語文教育學習領域 中國語文課程指引 2002 等課程文件擬訂 評估卷別

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

修改图 7.5 中计算声明名字的类型和相对地址的翻译方案, 允许名字表而不是单个名字出现在形式为 D id : T 的声明中 即允许 a, b, c : integer 这种形式的变量声明 下面是一个 C 语言程序 : long f1( i

修改图 7.5 中计算声明名字的类型和相对地址的翻译方案, 允许名字表而不是单个名字出现在形式为 D id : T 的声明中 即允许 a, b, c : integer 这种形式的变量声明 下面是一个 C 语言程序 : long f1( i 2013.12.8 7.4 修改图 7.5 中计算声明名字的类型和相对地址的翻译方案, 允许名字表而不是单个名字出现在形式为 D id : T 的声明中 即允许 a, b, c : integer 这种形式的变量声明 2013.12.1 6.12 下面是一个 C 语言程序 : long f1( i ) long i; { return(i 10); long f2(long i) { return(i

More information

3. 4. 98 41 5. 900,000

3. 4. 98 41 5. 900,000 A. 1. (1) (2) 2. 3. 4. 98 41 5. 900,000 6. 78 78 7. 131 31 131 8. a. b. 61 c. d. 123 B. C. ............ 260261 (1) 550(2) 10100 185 186 (1) 1,000(2) (3) ... 3 1. 2. 3.......... 185 ... 23 1. 2....... 3,000...

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

陕 西 地 区 普 通 高 校 本 科 学 位 论 文 检 测 研 讨 会 在 我 校 举 行 我 校 完 成 中 央 财 政 支 持 地 方 高 校 发 展 专 项 资 金 项 目 申 报 工 作 学 校 召 开 贯 彻 落 实 教 育 部 提 高 高 等 教 育 质 量 三 十 条 会 议 校

陕 西 地 区 普 通 高 校 本 科 学 位 论 文 检 测 研 讨 会 在 我 校 举 行 我 校 完 成 中 央 财 政 支 持 地 方 高 校 发 展 专 项 资 金 项 目 申 报 工 作 学 校 召 开 贯 彻 落 实 教 育 部 提 高 高 等 教 育 质 量 三 十 条 会 议 校 西 安 邮 电 大 学 本 科 教 学 工 作 简 报 (2013 年 第 一 期 ) 西 安 邮 电 大 学 教 务 处 教 学 新 闻 本 期 要 目 2013 年 6 月 半 月 谈 西 安 邮 电 大 学 樊 相 宇 教 授 分 析 快 递 行 业 走 势 教 务 处 长 樊 相 宇 教 授 当 选 全 国 高 校 教 学 研 究 会 常 务 理 事 我 校 本 科 质 量 工 程 二 期

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

数据库系统概论

数据库系统概论 所谓寻址方式, 就是指令中用于说明操 作数所在地或者所在地地址的方法 8088/8086 的寻址方式分为两类 : 关于寻找数据的寻址方式 关于寻找转移地址的寻址方式 下面讲关于数据的寻址方式时, 均以数 据传送指令 MOV 为例讲解 MOV 指令格式如下 : MOV DST, SRC 助记符 目的操作数 指令完成的功能 : (DST) 源操作数 (SRC) 一. 关于寻找数据的寻址方式 ( 共 8

More information

ARM中C和汇编混合编程及示例.doc

ARM中C和汇编混合编程及示例.doc ARM 中 C 和汇编混合编程及示例 在嵌入式系统开发中, 目前使用的主要编程语言是 C 和汇编,C++ 已经有相应的编译器, 但是现在使用还是比较少的 在稍大规模的嵌入式软件中, 例如含有 OS, 大部分的代码都是用 C 编写的, 主要是因为 C 语言的结构比较好, 便于人的理解, 而且有大量的支持库 尽管如此, 很多地方还是要用到汇编语言, 例如开机时硬件系统的初始化, 包括 CPU 状态的设定,

More information

Microsoft Word _2005_n.doc

Microsoft Word _2005_n.doc 1 1 2 1 2 8 3 220064420063316,453,076,000 100036G6000361,035,826,579 ()12,279,328,8601,904,985,046 0.32 200 4 5 2004 2005 6 5,048,181,636 73.72 2,524,090,818 2,524,090,818 7,572,272,454 72.99 2,679,696,893

More information

第4章 80X86指令系统

第4章  80X86指令系统 第 4 章 80x86 指令系统 一 练习题 ㈠选择题 1.MOV AX,[BX+SI] 的源操作数的物理地址是 ( ) A.(DS) 16+(BX)+(SI) B. (ES) 16+(BX)+(SI) C.(SS) 10H+(BX)+(SI) D.(CS) 10H+(BX)+(SI) 2.MOV AX,[BP+Sl] 的源操作数的物理地址是 ( ) A.(DS) 10H+(BP)+(SI) A.

More information

大侠素材铺

大侠素材铺 编译原理与技术 语法制导翻译 Ⅱ 计算机科学与技术学院 李诚 22/10/2018 Announcement Tutorial on Thursday (25/10/2018) 3B201, Class time Assignment review Q & A Cheng @ Compiler Fall 2018, USTC 2 主要内容 源程序 词法分析器 token 语法分析器 分析树 语义分析

More information

71 亡 環 境 鴛 應 該 叫 叫 叫 ' 般 稱 或 仔 指 它 且 叫 少 ; 淡 冬 于 冬 海 淡 誤 它 感 潮 鹹 淡 感 潮 漲 潮 貨 運 汐 止 峽 等 岸 算 海 延 伸 並 l l.-a' 108 字 義 航 運 稱 江 接 著 才 谷 此 格 講 並 和 俗 稱 雷 仔 '

71 亡 環 境 鴛 應 該 叫 叫 叫 ' 般 稱 或 仔 指 它 且 叫 少 ; 淡 冬 于 冬 海 淡 誤 它 感 潮 鹹 淡 感 潮 漲 潮 貨 運 汐 止 峽 等 岸 算 海 延 伸 並 l l.-a' 108 字 義 航 運 稱 江 接 著 才 谷 此 格 講 並 和 俗 稱 雷 仔 ' 遷 避 五 千 禹 觀 念 搬 扛 喝 及 灌 溉 愈 近 愈 瀕 居 災 鄰 回 宋 楚 瑜.. 奇 怪! 什 整 像 萊 茵 7 野 107 格 算 常 同 陸 江 歐 洲 腦 般 每 真 71 亡 環 境 鴛 應 該 叫 叫 叫 ' 般 稱 或 仔 指 它 且 叫 少 ; 淡 冬 于 冬 海 淡 誤 它 感 潮 鹹 淡 感 潮 漲 潮 貨 運 汐 止 峽 等 岸 算 海 延 伸 並 l l.-a'

More information

没有幻灯片标题

没有幻灯片标题 指针作为函数参数 : 原因 : 1 需要修改一个或多个值,( 用 return 语句不能解决问题 ) 2 执行效率的角度 使用方法 : 在函数原型以及函数首部中需要声明能够接受指针值的形参, 具体的写法为 : 数据类型 * 形参名 如果有多个指针型形参, 则用逗号分隔, 例如 : void swap(int *p1, int *p2) 它说明了形参 p1 p2 是指向整型变量的指针 在函数调用时,

More information

Microsoft Word - Wuxi-RAP-Chinese.doc

Microsoft Word - Wuxi-RAP-Chinese.doc 江 苏 省 太 湖 流 域 城 市 环 境 项 目 无 锡 市 城 市 环 境 项 目 移 民 安 置 计 划 江 苏 省 无 锡 市 城 市 环 境 项 目 办 公 室 2003.9 目 录 1 项 目 基 本 情 况...1 1.1 项 目 简 要 描 述...1 1.1.1 五 里 湖 整 治 工 程...1 1.1.2 惠 山 污 水 处 理 厂 一 期 和 管 网...2 1.1.3 锡

More information

一學就會,空間醫學實修大全

一學就會,空間醫學實修大全 郭 氏 觀 舌 與 養 生 臨 床 養 生 案 例 參 考 郭 志 辰 / 著 良 辰 文 化 事 業 出 版 觀 舌 與 本 草 圖 譜 一 舌 尖 診 斷 與 用 藥 一.1 舌 尖 尖 舌 苔 2 郭 氏 觀 舌 與 養 生 I. 舌 上 焦 養 生 參 考 1-1 舌 尖 尖 舌 舌 尖 尖 舌 苔 就 像 桃 形 一 樣, 稱 為 桃 形 舌 苔, 主 要 是 任 脈 到 督 脈 能 量

More information

<4D6963726F736F667420576F7264202D20BDA8C9E8CFEEC4BFBBB7BEB3D3B0CFECB1A8B8E6B1ED>

<4D6963726F736F667420576F7264202D20BDA8C9E8CFEEC4BFBBB7BEB3D3B0CFECB1A8B8E6B1ED> 建 设 项 目 环 境 影 响 报 告 表 ( 试 行 ) 项 目 名 称 : 北 京 名 怡 若 口 腔 诊 所 建 设 单 位 : 北 京 名 怡 若 口 腔 诊 所 ( 公 章 ) 2014 年 11 月 建 设 项 目 基 本 情 况 项 目 名 称 北 京 名 怡 若 口 腔 诊 所 建 设 单 位 北 京 名 怡 若 口 腔 诊 所 法 人 代 表 张 晓 云 联 系 人 曹 文 通

More information

2013 2010-2014 1. 1.1 BP 2013 40.7 2 2012 4.7% 67.5% 17.8% 5.1% 2013 7.2% 5.1% 1.5% 0.9% 17.8% 67.5% 1 2013 BP 2 BP28.5 1=1.4286 61

2013 2010-2014 1. 1.1 BP 2013 40.7 2 2012 4.7% 67.5% 17.8% 5.1% 2013 7.2% 5.1% 1.5% 0.9% 17.8% 67.5% 1 2013 BP 2 BP28.5 1=1.4286 61 [] [] [] [] [] 404,100 2001 (i) (ii)(iii) BP2013 60 2013 2010-2014 1. 1.1 BP 2013 40.7 2 2012 4.7% 67.5% 17.8% 5.1% 2013 7.2% 5.1% 1.5% 0.9% 17.8% 67.5% 1 2013 BP 2 BP28.5 1=1.4286 61 2020 2005 40% 45%2015

More information

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式]

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式] 本教案内容 第 3 章 8086CPU 指令系统 1. 汇编语言指令 9. 转移指令 10. 2. 8086 指令分类循环控制指令 11. 子程序调用返回 3. 数据与转移地址的指令寻址方式 12. 中断调用返回指 4. 数据传送类指令令 5. 算术运算类指令 13. 字符串操作指令 6. 逻辑运算类指令 14. I/O 输入输出指令 7. 移位类指令 15. 其它指令 8. 标志位操作指令 16.

More information

1 1 2 2 3 3 4 4 5 5 6 6 7 7 8 8 ABC 1997.3.5 CT 1997.3.8 1 1 2 3 4 5 6 7 = AR DR = IR CR 5% DR = 60% 40% DR = 20.8% 2500000 4% 25000000 2% 75000000 1.5% 125000000 1% 125000000 0.7%

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

1 LINUX IDE Emacs gcc gdb Emacs + gcc + gdb IDE Emacs IDE C Emacs Emacs IDE ICE Integrated Computing Environment Emacs Unix Linux Emacs Emacs Emacs Un

1 LINUX IDE Emacs gcc gdb Emacs + gcc + gdb IDE Emacs IDE C Emacs Emacs IDE ICE Integrated Computing Environment Emacs Unix Linux Emacs Emacs Emacs Un Linux C July 27, 2016 Contents 1 Linux IDE 1 2 GCC 3 2.1 hello.c hello.exe........................... 5 2.2............................... 9 2.2.1 -Wall................................ 9 2.2.2 -E..................................

More information

42 2141601026 2016 11 27 2 1.1............................................. 2 1.2....................................... 2 1.2.1......................................... 2 1.3.............................................

More information

.size main,.lfe1-main.local b.comm b,4,4.comm c,4,4.ident "GCC: (GNU) egcs /Linux (egcs release)" 修改图 6.5 中计算声明名字

.size main,.lfe1-main.local b.comm b,4,4.comm c,4,4.ident GCC: (GNU) egcs /Linux (egcs release) 修改图 6.5 中计算声明名字 实验 : 1 阅读并理解 PL/0 语言前端编译器中的词法分析器, 扩展 PL/0 语言及其编译器, 以增加对上述多行注释的支持 2 [11 月 8 日开始检查 ] 参考 flex-examples, 将 PL/0 编译器中的词法分析部分的实现改造成两种构造方式 : 手工构造 ( 即使用原先在 pl0.c 中定义的 getch 和 getsym 函数 ) 用 flex 自动生成词法分析程序 ( 即编写描述

More information

中華臺北不符合措施清單(附件 8B:I)

中華臺北不符合措施清單(附件 8B:I) 中 華 臺 北 不 符 合 措 施 清 單 ( 附 件 8B:I) 1 所 有 子 相 關 條 款 : 國 民 待 遇 ( 第 9.5 條 ) 2011 年 6 月 15 日 土 地 法 投 資 林 地 漁 地 狩 獵 地 鹽 地 礦 地 水 源 地 要 塞 軍 備 區 域 及 領 域 邊 境 之 土 地 不 得 移 轉 設 定 負 擔 或 租 賃 於 外 國 人 外 國 人 在 中 華 臺 北 取

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

<4D F736F F D20B5DAB6FED5C2A3AE BBE3B1E0D3EFD1D4D3EB474343C4DAC7B6BBE3B1E02E646F63>

<4D F736F F D20B5DAB6FED5C2A3AE BBE3B1E0D3EFD1D4D3EB474343C4DAC7B6BBE3B1E02E646F63> 第二章.AT&T 汇编语言与 GCC 内嵌汇编 (v0.1) 说到 AT&T 汇编语言, 我们就需要从 Unix 开始说起 Unix 最初是为 PDP-11 开发的, 曾先后被移植到 VAX 及 68000 系列的处理器上, 这些处理器上的汇编语言都采用的是 AT&T 的指令格式 ( 那个时候,Intel 公司还未成立, 而 AT&T 公司尚未被拆分 ) 作为 Unix 家族的一员, 我们今天使用的

More information

(1)(2)(3)

(1)(2)(3) 84 7 35 2015 5.5% 6.9% 3.1% 12 31 2016 2013 2014 2015 4 30 % % % % 126,122 83.3 161,146 77.7 199,888 68.8 69,926 78.3 4,134 2.0 34,132 11.8 4,493 5.0 25,370 16.7 42,201 20.3 56,482 19.4 14,941 16.7 151,492

More information

99710b45zw.PDF

99710b45zw.PDF 1 2 1 4 C && Zn H H H H H Cl H O H N H H H CH C H C H 3 2 5 3 7 H H H H CH 3 C2H 5 H H H O H H O K K O NO 2 H O NO 2 NO O 2 C2H5 H O C2H5 C H O C2H3 2 5 H H H O H H O 1826 O

More information

不 是 想 了 想 又 说, 身 体 有 点 不 舒 服, 过 来 看 看 哦, 怎 么 了? 许 是 出 于 职 业 习 惯, 谭 清 辰 脱 口 而 出 你 是 外 科 大 夫 吧? 妇 科 的 毛 病 你 也 能 治? 田 惜 菁 明 显 不 愿 意 继 续 这 个 话 题, 说 话 语 气

不 是 想 了 想 又 说, 身 体 有 点 不 舒 服, 过 来 看 看 哦, 怎 么 了? 许 是 出 于 职 业 习 惯, 谭 清 辰 脱 口 而 出 你 是 外 科 大 夫 吧? 妇 科 的 毛 病 你 也 能 治? 田 惜 菁 明 显 不 愿 意 继 续 这 个 话 题, 说 话 语 气 女 人, 别 装 了 / 作 者 : 绯 雨 闲 CH1 医 院 偶 遇 (1) 周 六, 一 大 早 被 电 话 吵 醒 的 谭 清 辰 有 点 儿 心 气 不 顺 他 睁 着 眼 睛 在 床 上 又 躺 了 五 分 钟 才 磨 磨 蹭 蹭 的 起 床 穿 衣 服 让 他 郁 闷 的 有 两 件 事, 一 是 他 昨 天 为 了 想 一 个 即 将 进 行 的 手 术 方 案, 熬 夜 到 凌 晨

More information

cgn

cgn 3654 ( 571 ) 88(4) 2014 3 31 10766 10778 2014 3 31 ( ) 2 21 ( ) 2014 3 31 10768 10778 6 9 1. ( ) 2. 3. 4. 5. 2014 6 3 ( ) 10768 10778 ( ) 2014 3 31 ( 622 ) 11 80 2014 3 31 2014 6 3 10 8 2014 3 31 ( ) 2014

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

工业和信息化部 水利部 全国节约用水办公室

工业和信息化部 水利部 全国节约用水办公室 附 件 : 国 家 节 水 标 杆 企 业 和 标 杆 指 标 ( 第 一 批 ) 序 号 企 业 名 称 产 品 名 称 1 太 原 钢 铁 ( 集 团 ) 有 限 公 司 不 锈 钢 标 杆 指 标 ( 单 位 产 品 取 水 量 ) 1.45 m 3 /t ( 再 生 水 用 量 占 总 用 水 量 的 50%) 2 莱 芜 钢 铁 集 团 有 限 公 司 H 型 钢 齿 轮 钢 3.43m

More information

MSP430ϵÁе¥Æ¬»úµÄÖ¸Áîϵͳ.pps [¼æÈÝģʽ]

MSP430ϵÁе¥Æ¬»úµÄÖ¸Áîϵͳ.pps [¼æÈÝģʽ] 作者 : 利尔达 MSP430 系列单片机的指令系统 1 CPU 内核组成 : 16 位的 (ALU) 算术运算单元 16 个寄存器 (PC SP SR R4~R15) 指令控制单元 2 存储器组织结构 3 外围模块寄存器地址 它们被分配在相应的字模块或字节模块当中 分配在 00-FFH 中为字节, 分配在 100-1FFH 中为字 4 寻址模式 : 5 指令格式 : 1) 书写格式标号指令助记符源操作数,

More information

PLC Simulative Control of an Elevator by PLC POWER SUPPLY ii iii ABSTRACT In the modern time, elevator is very popular and based. Most techniques of elevator are owned by foreigners. A simple introduction

More information

本次习题课中提到的 课本, 均指机械工业出版社的 Intel 微处理器 ( 原书第八版 ) 中文版, 使用其他版本课本的同学需要自己对应

本次习题课中提到的 课本, 均指机械工业出版社的 Intel 微处理器 ( 原书第八版 ) 中文版, 使用其他版本课本的同学需要自己对应 微机原理习题课 助教 : 王骏腾 本次习题课中提到的 课本, 均指机械工业出版社的 Intel 微处理器 ( 原书第八版 ) 中文版, 使用其他版本课本的同学需要自己对应 习题 33:Core2 处理器可寻址 存储器? 答案 :4GB 64GB 1TB ( 课本 P18 表 1-6) 第一章 习题 53: 信号的作用是什么? 答案 :I/O 读控制, 低电平有效 ( 两点都要答上, 详细可见课本 P20)

More information

南華大學數位論文

南華大學數位論文 1 Key word I II III IV V VI 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61

More information

µÚ¶þÕ µ¥´¦ÀíÆ÷Ìåϵ½á¹¹

µÚ¶þÕ  µ¥´¦ÀíÆ÷Ìåϵ½á¹¹ 第二章 单处理器体系结构 石教英 2.1 Intel80x86 处理器体系结构综述 (1) CISC 体系结构 计算机自 40 年代中叶问世以来, 其体系结构的发展经历了 : 简单 复杂 极其复杂 简单 复杂 极其复杂 接线控制器, 速度作数不定:0-6 个; 操作数种类十几种; 寻址方设计, 以便于修改, 纠指令数增加; 寻址方式增加; 指令格式复杂指令数少: 加法和转移令数增加; CPI 接近于1;

More information

06721 main() lock pick proc() restart() [2][4] MINIX minix2.0 GDT, IDT irq table[] CPU CPU CPU CPU (IDTR) idt[] CPU _hwint00:! Interrupt

06721 main() lock pick proc() restart() [2][4] MINIX minix2.0 GDT, IDT irq table[] CPU CPU CPU CPU (IDTR) idt[] CPU _hwint00:! Interrupt MINIX ( 730000) ( 730000) MINIX MINIX2.0 MINIX : MINIX TP3 1 MINIX UNIX Tanenbaum UNIX MINIX LINUX MINIX MINIX MINIX1.0 UNIX V7 MINIX2.0[3] POSIX MINIX3 MINIX Gabriel A. Wainer 1994-1995 [5] 1998 I/O 2002

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

中国行业季度分析报告

中国行业季度分析报告 中 国 饮 料 行 业 分 析 报 告 (2010 年 2 季 度 ) 出 版 日 期 :2010 年 8 月 WWW.CEI.GOV.CN 编 写 说 明 2010 年 上 半 年, 国 民 经 济 快 速 增 长,GDP 增 长 11.1%, 比 上 年 同 期 加 快 3.7 个 百 分 点 ; 城 镇 居 民 人 均 可 支 配 收 入 增 长 10.2%, 农 村 居 民 人 均 现 金

More information

Microsoft Word - Ch6b_P6 Chinese_2011C.doc

Microsoft Word - Ch6b_P6 Chinese_2011C.doc :3 :1 :3 :1 :1 :3 2011 年 全 港 性 系 統 評 估 小 學 六 年 級 成 績 2011 年 小 六 級 學 生 在 中 國 語 文 科 達 到 基 本 水 平 的 百 分 率 為 77.2%, 學 生 整 體 表 現 與 去 年 相 近 小 學 六 年 級 評 估 設 計 評 估 範 疇 及 擬 題 依 據 中 國 語 文 科 的 評 估 範 疇 包 括 閱 讀 寫 作

More information

哈尔滨理工大学桂林工学院

哈尔滨理工大学桂林工学院 3888.00 ( 16.00 ) ...1...1...2...3...3...4...5...6...7...7 ( )...8... 11 ( )...12...16...19...21...25 (1)...32 I (3)...36 (2)...49...60...60...61...62...63...68...72 ( )...72 ( )...86...99... 102... 117...

More information

首都经济贸易大学(三).DOC

首都经济贸易大学(三).DOC ... 1... 3... 6... 9...11... 13... 16... 19... 22 I ... 25... 28... 33... 36 ( )... 39... 43... 46... 46... 49... 51... 52... 58 II ... 60... 63... 68... 68... 70... 72 ( )... 82... 92... 94... 95... 97...

More information

PowerPoint Presentation

PowerPoint Presentation 数据结构与算法 ( 六 ) 张铭主讲 采用教材 : 张铭, 王腾蛟, 赵海燕编写高等教育出版社,2008. 6 ( 十一五 国家级规划教材 ) http://www.jpk.pku.edu.cn/pkujpk/course/sjjg 第 6 章树 C 树的定义和基本术语 树的链式存储结构 子结点表 表示方法 静态 左孩子 / 右兄弟 表示法 动态表示法 动态 左孩子 / 右兄弟 表示法 父指针表示法及其在并查集中的应用

More information

教科書:系統程式 - 第 2 章、電腦的硬體結構

教科書:系統程式 - 第 2 章、電腦的硬體結構 第 2 章 電腦的硬體結構 作者 : 陳鍾誠 第 2 章 電腦的硬體結構 2.1 CPU0 處理器 2.2 CPU0 的指令集 2.3 CPU0 的運作原理 2.4 CPU0 的程式執行 2.5 實務案例 :IA32 處理器 2.1 CPU0 處理器 CPU0 是筆者所設計的一個簡易的 32 位元處理器, 主要用來說明系統程式的運作原理 CPU0 的設計主要是為了教學考量, 設計重點在於簡單 容易理解,

More information

c110-0807033.pm

c110-0807033.pm 大 躍 進 中 的 糧 食 問 題 楊 繼 繩 一 大 躍 進 前 糧 食 就 很 緊 張 糧 食 收 購, 說 是 收 購 餘 糧, 實 際 上 國 家 給 農 民 的 口 糧 標 準 很 低, 農 民 根 本 吃 不 飽 用 行 政 手 段 強 制 推 行 工 業 化 需 要 快 速 增 加 城 市 人 口 需 要 出 口 農 產 品 換 回 機 器, 就 不 能 讓 農 民 吃 飽 中 華 人

More information

Create By PageManager

Create By PageManager ^1~2#??! : 15 @3:50@5:00 7O : @ " - 3 4 : B R; :! : @321 " (A) (B) " " 1C) 1D!" ". lal 1Bl (C1 (D) la1 (B@ 1C1 @D@ 4? (A) lb) @C@ (D) " (Al (B1-" (c1 " 1D1" ". (A) (B) ;C) 1D) (104901-C) : (Al 1Bl 1C)

More information

L15 MIPS Assembly

L15 MIPS Assembly Lecture 19: MIPS Assembly Language 程序的机器级表示主要内容 MIPS 指令格式 R- 类型 / I- 类型 / J- 类型 MIPS 寄存器 长度 / 个数 / 功能分配 MIPS 操作数 寄存器操作数 / 存储器操作数 / 立即数 / 文本 / 位 MIPS 指令寻址方式 立即数寻址 / 寄存器寻址 / 相对寻址 / 伪直接寻址 / 偏移寻址 MIPS 指令类型

More information

第 壹 部 分 : 單 選 題 ( 佔 76 分 ) 說 明 : 共 有 38 題 ; 請 選 出 一 個 最 適 當 的 選 項, 標 示 在 答 案 卡 之 選 擇 題 答 案 區 每 題 答 對 得 2 分, 答 錯 或 劃 記 多 於 一 個 選 項 者 倒 扣 2/3 分, 倒 扣 到 本

第 壹 部 分 : 單 選 題 ( 佔 76 分 ) 說 明 : 共 有 38 題 ; 請 選 出 一 個 最 適 當 的 選 項, 標 示 在 答 案 卡 之 選 擇 題 答 案 區 每 題 答 對 得 2 分, 答 錯 或 劃 記 多 於 一 個 選 項 者 倒 扣 2/3 分, 倒 扣 到 本 大 學 入 學 考 試 中 心 - 作 答 注 意 事 項 - 考 試 時 間 :80 分 鐘 作 答 方 式 : 選 擇 題 用 2B 鉛 筆 在 答 案 卡 上 作 答, 修 正 時 應 以 橡 皮 擦 拭, 切 勿 使 用 修 正 液 非 選 擇 題 使 用 較 粗 的 黑 色 或 藍 色 原 子 筆 鋼 珠 筆 或 中 性 筆, 在 答 案 卷 上 作 答, 各 大 題 應 在 答 案 卷

More information

Microsoft Word - 2CA13內文.doc

Microsoft Word - 2CA13內文.doc 006 公 民 - 歷 屆 試 題 全 解 答 案 是 完 全 正 確 的? : 能 源 使 用 愈 多, 除 了 帶 來 經 濟 成 長 外, 相 對 的, 也 會 帶 來 負 面 的 環 保 問 題 我 們 在 發 展 經 濟 的 過 程 中, 若 不 能 兼 顧 環 境 資 源 的 保 育, 將 賠 上 後 代 子 孫 的 生 存 環 境, 這 是 下 列 那 一 種 理 念? 比 較 利 益

More information

5 3,332,809 4,308,085 (2,617,435) (2,809,316) 715,374 1,498, ,562 98,668 (405,946) (506,551) (452,494) (434,780) 5 (153,768) (29,308) 185,354

5 3,332,809 4,308,085 (2,617,435) (2,809,316) 715,374 1,498, ,562 98,668 (405,946) (506,551) (452,494) (434,780) 5 (153,768) (29,308) 185,354 WUZHOU INTERNATIONAL HOLDINGS LIMITED 01369 3,33322.6% 173 18.1% 482 253 9.5%0.6 2,552 1 5 3,332,809 4,308,085 (2,617,435) (2,809,316) 715,374 1,498,769 5 147,562 98,668 (405,946) (506,551) (452,494) (434,780)

More information

新时期共青团工作实务全书(一百七十二)

新时期共青团工作实务全书(一百七十二) I...... " "......... 2001 2001........ 2001... 2003... II 2001..................... 2004......... 2004... 2004............ 3 5... ... 2002... 2002... 2002... 2002... 2002... III 100 : 250 9 20 36

More information

chap07.key

chap07.key #include void two(); void three(); int main() printf("i'm in main.\n"); two(); return 0; void two() printf("i'm in two.\n"); three(); void three() printf("i'm in three.\n"); void, int 标识符逗号分隔,

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information