在MC56F82xx和MC56F84xx系列数字信号控制器中使用eFlexPWM模块进行ADC同步

Size: px
Start display at page:

Download "在MC56F82xx和MC56F84xx系列数字信号控制器中使用eFlexPWM模块进行ADC同步"

Transcription

1 Freescale Semiconductor Document Number: AN4675 应用说明 Rev 0, 01/2013 在 MC56F82xx 和 MC56F84xx 系列数字信号控制器中使用 eflexpwm 模块进行 ADC 同步 作者 : Pavel Grasblum 1 简介 PWM 模块与模数转换器 (ADC) 之间的同步对于电机控制和电源转换应用而言是关键要求之一 这些应用利用脉宽调制 (PWM) 来产生系统中的电压或电流, 因此在测量系统的电压或电流时就会观测到纹波 纹波量使得模数转换变得困难, 因为实际的测量值与 ADC 采样的时间点有关 这个问题可以通过 PWM 与 ADC 同步来解决 当在 PWM 脉冲的中间点进行模数转换采样时,ADC 的结果相当于被测对象的平均值 当电流或电压传感器所处位置不是在整个 PWM 周期内都可以测量到信号的情况下, 也需要将 PWM 与 ADC 同步 典型示例是使用分流电阻进行电流检测 在图 1 中可以看到, 在每一相中分流器与底部 IGBT 晶体管的源级串联 这意味着对应于相电流的分流器上的压降只有当底部晶体管导通时才有 因此, 电流测量也必须与 PWM 信号同步 内容 1 简介 eflexpwm 作为触发单元 eflexpwm 在电机控制应用中的配置 应用示例 结语 参考 Freescale Semiconductor, Inc.

2 简介 图 1. 相电流测量中的分流器典型用法 以下是数字信号控制器 (DSC)MC56F82xx 上的一些用于 PWM 与 ADC 同步的新特性 : 首先,ADC 支持多触发采样 提供新的 ADC 扫描控制寄存器 (ADC_SCTRL), 用于独立控制每次采样是立即执行采样转换还是等待下一个触发信号 将相应采样的控制位置 1, 意味着 ADC 等待触发事件来启动下一次转换 该特性允许用户在不同时间转换任意数量的 ADC 通道, 直到所有 16 个采样均执行完成 (ADC_SCTRL 寄存器有 16 个比特位 ), 而无需 CPU 干预 第二个新特性是内部模块互联单元 (XBAR), 为 ADC 触发带来更大的灵活性 内部模块互联单元的功能如下 : 内部模块互联单元允许将输入端的任意信号与内部模块互联单元的任意输出端相连 内部模块互联单元可使多个外设互连, 如 eflexpwm ADC 四通道定时器 比较器和 DAC 内部模块互联单元提供非常大的灵活性, 用户可以根据应用需要对外设进行互连 图 2 显示了 DSC 56F82xx 的内部模块互联单元互连示例 2 Freescale Semiconductor, Inc.

3 eflexpwm 作为触发单元 增强型 Flex 模块 子模块 3 子模块 2 子模块 1 内部模块互联单元 加窗 / 采样 加窗 / 采样 加窗 / 采样 子模块 0 图 2. 56F82xx 内部模块互联单元实现 如图 2 所示,ADC 触发信号与内部模块互联单元输出 XBAR_OUT6 和 XBAR_OUT7 相连 用户可以使用任意的内部模块互联单元输入信号作为 ADC 模块的触发信号 这与之前的数字信号控制器不同, 之前 DSC 上的外设互连是固定的 2 eflexpwm 作为触发单元 对于 DSC 用户而言另一个值得注意的变化是引入了新的 PWM 模块, 该模块在最新的 DSC 上称为 eflexpwm, 如 MC56F82xx 和 MC56F84xxx 该模块为产生 PWM 信号提供更大的灵活性, 如针对每个 PWM 子模块的独立时基 ( 时间基准 ) 对于每个 PWM 脉冲边沿或者高分辨率的开关频率的独立控制, 以及占空比的生成 这些改进使得 eflexpwm 模块更适用于电源转换应用的数字控制 图 3 显示了 eflexpwm 子模块的框图 子模块由一个作为时基的 16 位计数器和六个比较值寄存器组成 子模块最多可以产生 3 个 PWM 信号 :PWMA PWMB 和 PWMX PWMA 和 PWMB 输出可实现所有可用功能, 如带死区插入的互补模式 独立边沿控制 故障保护 软件控制输出和高分辨率等 PWMX 输出是辅助输出, 用于生成边沿对齐信号 如果不使用该输出, 可将其配置为捕捉功能的输入或检测死区补偿的电流极性 Freescale Semiconductor, Inc. 3

4 eflexpwm 作为触发单元 子模块的 3 个 PWM 输出共用相同的时基和周期配置, 其中周期由比较值寄存器 1 的值 (VAL1) 与 Init 寄存器 (INIT) 之差决定 比较值寄存器 0 的值 (VAL0) 用于 PWMX 输出的占空比设定, 也可用于生成半周期的重载信号 该信号可在 PWM 周期中除 PWM 周期结束时刻外的任意时刻产生, 位于 PWM 周期结束时将产生全周期重载信号 两个信号均用于更新所有被缓存的新值到对应的比较值寄存器中 比较值寄存器 2 和 3 的值专用于控制 PWMA 输出 第一个值 (VAL2) 定义 PWMA 输出的上升沿位置, 第二个值 (VAL3) 定义 PWMA 输出的下降沿位置 为了产生合适的 PWM 信号,VAL2 和 VAL3 的值必须位于 INIT 和 VAL0 寄存器定义的范围内, 且 VAL3 必须大于等于 VAL2 辅助时钟 ( 仅子模块 0) LDOK 时钟 预分频器 比较值 0 的值 16 位计数器 半周期重载 重载逻辑 主重载 ( 仅子模块 0) 比较值 1 的值预装载 模数计数值 主同步 ( 仅子模块 0) 主重载 主同步 外部同步 计数器预装载多路复用 寄存器重载多路复用 比较值 2 的值比较值 3 的值比较值 4 的值比较值 5 的值 初始值 初始化 初始值 初始化 PWM 打开 D S Q R PWM 关闭 PWM 打开 D S Q R PWM 关闭 PWM23 PWM45 输出触发信号 互补与独立 死区发生器 多路复用选择逻辑 引脚复用 故障保护 输出改写控制 PWMX 来自模块总线的故障输入 PWMA PWMB 寄存器重载 中断 图 3. eflexpwm 子模块框图 同样, 比较值寄存器 4 和 5 的值用于控制 PWMB 输出 值 VAL4 定义 PWMB 输出的上升沿位置, 值 VAL5 定义 PWMB 输出的下降沿位置 为了产生合适的 PWM 信号,VAL4 和 VAL5 的值必须位于 INIT 和 VAL0 寄存器定义的范围内, 且 VAL5 必须大于等于 VAL4 在生成互补信号的情况下, 不需要使用 VAL4 和 VAL5, 或者 VAL2 和 VAL3, 因为互补信号由硬件产生 除了边沿控制, 所有比较事件还可用于其他用途 首先是中断的产生, 所有比较事件均可产生中断事件 其次, 所有比较事件均可用于产生触发事件 每个 eflexpwm 子模块都具有 2 个触发输出 :OUT_TRIG0 和 OUT_TRIG1 VAL0 VAL2 和 VAL4 的触发信号进行或运算后输出到 OUT_TRIG0,VAL1 VAL3 和 VAL5 的触发信号进行或运算后输出到 OUT_TRIG1 所有来自子模块的触发输出均连接到内部模块互联单元 56F82xx DSC 系列的触发输出连接如图 2 所示 2.1 eflexpwm 在电机控制应用中的配置 如果 eflexpwm 模块用于电机控制应用, 则不需要使用所有的比较值寄存器 以下将针对三种最常用的电机控制应用分别给出对应的 eflexpwm 的典型配置 : 三相 ACIM 或 PMSM 的正弦控制 用于 BLDC 电机的双极型互补开关 用于 BLDC 电机的单极型独立开关 4 Freescale Semiconductor, Inc.

5 所有 PWM 调制使用的硬件均为图 1 中描述的 3 相逆变器 交流感应电机和永磁同步电机 (PMSM) 需要产生 3 对互补信号 因此, 需要 3 个 eflexpwm 子模块 第一个子模块为子模块 0, 因为该子模块可用作主子模块 接下来的两个子模块可使用剩余子模块中的任意两个 在本示例中, 将使用子模块 1 和子模块 2 配置如下 : 子模块 0 使用 VAL1 定义 PWM 周期,VAL2 和 VAL3 定义 A 相的占空比, 由于互补输出由互补逻辑产生, 因而不使用 VAL4 和 VAL5 VAL0 可以不使用, 或者可用于产生半周期重载 子模块 1 和子模块 2 使用各自的 VAL2 和 VAL3 定义 B 相和 C 相的占空比 其他比较值寄存器 VAL0 VAL4 VAL5 包括 VAL1(PWM 信号的周期由主子模块 0 定义 ) 均不使用 子模块 3 完全不使用, 因此可用于其他目的 比较值寄存器使用汇总如下表所示 eflexpwm 子模块 表 1. eflexpwm 子模块的比较值寄存器值使用 比较值寄存器 eflexpwm 作为触发单元 VAL0 VAL1 VAL2 VAL3 VAL4 VAL5 子模块 0 未使用使用使用使用未使用未使用 子模块 1 未使用未使用使用使用未使用未使用 子模块 2 未使用未使用使用使用未使用未使用 子模块 3 未使用未使用未使用未使用未使用未使用 由于用于 BLDC 电机的双极型互补开关也需要 3 对互补 PWM 输出, 因此其具有相同的 eflexpwm 配置要求 对于单极型独立开关, 在整个周期内, 下桥臂晶体管均为导通 这意味着可利用软件控制功能来产生下桥臂的 PWM 信号, 这时和前二种 PWM 调制方法配置一样也不使用 VAL4 和 VAL5 比较值寄存器 因此, 表 1 对三种 PWM 调制类型均适用 上述分析显示, 即使将 eflexpwm 模块用于 PWM 调制, 仍然有未使用的比较值寄存器, 可用于产生触发事件 2.2 应用示例 eflexpwm 和 ADC 触发示例将通过以下方式进行演示 : 使用分流电阻测量三相逆变器中的电流, 采用的器件为 56F824x/5x 系列 ( 参见图 1 ) 通过以下步骤可以更好地演示该应用示例 1. 为了测量所有三相电流, 将在每个 PWM 周期对相电流进行二次采样, 每次仅对三相的其中二相进行采样 ( 参见图 4 ) 第一次采样在 PWM 周期开始时进行 在此时刻, 下桥臂的晶体管均关闭, 没有电流流过分流器 模数转换的结果对应于零电流时的偏移量, 该值用于零点偏移补偿 第二次转换在周期中点进行, 下桥臂的晶体管均开启为导通状态 因为某些相的下桥臂晶体管导通时间非常短, 所以选择下桥臂具有最宽脉宽的两个相进行采样 因此, 选择哪两相电流采样根据所有三相的实际占空比而有所变化 参见图 4 Freescale Semiconductor, Inc. 5

6 结语 VAL1 VAL5=0 eflexpwm 计数器 Init=VAL4 eflexpwm 触发信号 所有底部晶体管导通 所有顶部晶体管导通 A 相 eflexpwm 输出 B 相 C 相 ADC S&H 和转换 零电流补偿 电流采样 B 和 C 相 图 4. 使用 3 个分流器的电流采样 2. 要将 eflexpwm 用作触发源, 内部模块互联单元必须配置为将 eflexpwm 的触发信号输出连接到 ADC 的输入触发信号 这样, 就需要两个触发信号 ; 用户可以使用任意子模块, 因为每个子模块至少具有三个未使用的比较值寄存器 ( 参见表 1 ) 例如, 选择子模块 0, 并且内部模块互联单元配置为将输入 IN18( 子模块 0 的 TRIG0 与 TRIG1 或运算信号 ) 连接到输出 OUT6(ADCA 触发信号 ) 由于两个 ADC 并行运行, 因此无需将触发信号连接到 ADCB 参见图 2 3. 下一步是选择用于产生触发信号的比较值寄存器 用户可以选择 VAL4 在 PWM 周期开始时产生触发事件 (VAL4 = INIT),VAL5 在周期中点产生触发事件 (VAL5 = 0) 考虑到计数器设置为有符号计数模式 :INIT = -MODULO/2 和 VAL1 = (MODULO/2)-1, 因此周期中点在 CNT = 0 处 为了能够产生触发事件,PWM 输出触发控制寄存器 (PWM_SMTCTRL) 中的相应位必须置 1 4. 作为最后一个步骤, 需要执行以下操作来配置 ADC a. 使能 ADC 控制寄存器 1(ADC_CTRL1) 中的硬件触发 (SYNC0 = 1) 和扫描结束中断 (EOSIE0 = 1), 以及 ADC 控制寄存器 2(ADC_CTRL2) 中的同步转换 (SIMULT = 1) b. 然后, 配置 SAMPLE0 和 SAMPLE1(ADC_CLIST1 寄存器 ) 转换 X 相,SAMPLE4 和 SAMPLE5 (ADC_CLIST2 寄存器 ) 转换 Y 相, 其中 X 和 Y 为下桥臂脉宽最宽的两相 由于电流信号是双向的, 用户也可以配置相应的偏移寄存器 ADC_OFFST0 ADC_OFFST1 ADC_OFFST4 和 ADC_OFFST5, 以自动从 ADC 结果中扣除偏移量 c. 最后, 将 ADC 扫描控制寄存器 (ADC_SCTRL) 中的相应位置 1 这些设置确保 SAMPLE0 和 SAMPLE4 在第一次触发 (VAL4) 时转换,SAMPLE1 和 SAMPLE5 在第二次触发 (VAL5) 时转换 在最后一次转换结束后, 调用中断并且用户可以开始处理新数据 零电流补偿 3 结语 本应用笔记描述了 eflexpwm 作为触发单元的使用方法 eflexpwm 模块在电机控制应用中的典型配置并不使用 eflexpwm 模块中所有的比较值寄存器, 因此这些比较值寄存器还可用于其他诸如触发事件产生等用途 eflexpwm 模块的灵活性还通过内部模块互联单元进行了扩展, 这允许在外设间进行用户自定义互连, 因此允许 eflexpwm 模块连接到 ADC 模块 应用示例显示了 56F82xx 系列 DSC 的外设配置 然而, 此方法同样可用于任何更新的 DSC, 如 56F84xxx 或 56F82xxx 6 Freescale Semiconductor, Inc.

7 参考 4 参考可从 freescale.com 获取以下参考文档 MC56F825XRM:MC56F825x/4x 参考手册 MC56F825X:MC56F825x / MC56F824x 数字信号控制器数据手册 Freescale Semiconductor, Inc. 7

8 How to Reach Us: Home Page: freescale.com Web Support: freescale.com/support 本文档中的信息仅供系统和软件实施方使用 Freescale 产品 本文并未明示或者暗示授予利用本文档信息进行设计或者加工集成电路的版权许可 Freescale 保留对此处任何产品进行更改的权利, 恕不另行通知 Freescale 对其产品在任何特定用途方面的适用性不做任何担保 表示或保证, 也不承担因为应用程序或者使用产品或电路所产生的任何责任, 明确拒绝承担包括但不局限于后果性的或附带性的损害在内的所有责任 Freescale 的数据表和 / 或规格中所提供的 典型 参数在不同应用中可能并且确实不同, 实际性能会随时间而有所变化 所有运行参数, 包括 经典值 在内, 必须经由客户的技术专家对每个客户的应用程序进行验证 Freescale 未转让与其专利权及其他权利相关的许可 Freescale 销售产品时遵循以下网址中包含的标准销售条款和条件 :freescale.com/ SalesTermsandConditions. Freescale, the Freescale logo, and Kinetis, are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. All other product or service names are the property of their respective owners Freescale Semiconductor, Inc 飞思卡尔半导体有限公司 Document Number AN4675 Revision 0, 01/2013

Freescale Semiconductor, Inc. Document Number: AN4872 应用笔记 Rev. 0, 03/2014 使用 KE06 上的四线式 I 2 C 接口 作者 :Ben Wang 1 简介 本应用笔记将描述使用 Kinetis E 系列 KE06 上的四线式

Freescale Semiconductor, Inc. Document Number: AN4872 应用笔记 Rev. 0, 03/2014 使用 KE06 上的四线式 I 2 C 接口 作者 :Ben Wang 1 简介 本应用笔记将描述使用 Kinetis E 系列 KE06 上的四线式 Freescale Semiconductor, Inc. Document Number: AN4872 应用笔记 Rev. 0, 03/2014 使用 KE06 上的四线式 I 2 C 接口 作者 :Ben Wang 1 简介 本应用笔记将描述使用 Kinetis E 系列 KE06 上的四线式 I 2 C 接口的流程 本应用笔记中提供的示例代码在 KE06 上经过测试, 测试方法为在两个评估板之间进行

More information

电机控制方案的发展趋势lastpart.pptx

电机控制方案的发展趋势lastpart.pptx MC56F82xx 飞思卡尔创新的 DSC 产品 叶万富 应用工程师 1 MC56F82xx DSC 产品系列 精准 快速 强劲新推出的 MC56F82xx 数字信号控制器 (DSC) 能为更平稳 更优良的电源和电机控制应用提供经济有效的解决方案 增强的高精度 PWM 模块 520ps 分辨率 ( 占空比和周期 ) 达到 The 8 个支持中心对齐 explanation, that 边沿对齐和非对称

More information

KS22 LIN Demo 用户手册

KS22 LIN Demo 用户手册 Freescale Semiconductor, Inc. Document Number: KS22LINDEMOUG 用户手册 Rev. 0, 12/2015 KS22 LIN Demo 用户手册 1. 简介 本文档介绍了 LIN 在 MAPS-KS22F256 上的演示程序 从本文档中, 你可以了解到搭建 LIN 的演示环境所需要的板极设置, 板极连接, 引脚设置,LIN 演示过程, 以及软件包编译,

More information

在Kinetis上使用DMA进行脉冲计数

在Kinetis上使用DMA进行脉冲计数 Freescale Semiconductor Document Number: AN5083 应用笔记 Rev 0, 01/2015 在 Kinetis 上使用 DMA 进行脉冲计数 1 简介 本应用笔记阐述了如何使用 DMA 和通用 IO 模块进行脉冲计数 许多 Kinetis 系列微控制器具有低功耗定时器 (LPT) 和 FlexTimer, 可用于脉冲输入捕捉 然而, 当应用程序需要对多个脉冲输入进行计数时,

More information

利用MC56F84789的PWM和ADC驱动双PMSM电机FOC

利用MC56F84789的PWM和ADC驱动双PMSM电机FOC Freescale Semiconductor Document Number: AN4608 应用笔记 Rev 0, 10/2012 利用 MC56F84789 的 PWM 和 ADC 驱动双 PMSM 电机 FOC 作者 : Jaroslav Musil 1 简介 飞思卡尔数字信号控制器 (DSC) 具有强大的计算能力和灵活的外设, 因此对应用的要求也较高 其中一个要求是用单个处理器驱动两台磁场定向控制

More information

i.MX 6SoloX产品使用寿命估算

i.MX 6SoloX产品使用寿命估算 Freescale Semiconductor Document Number: AN5062 应用笔记 Rev 0, 02/2015 i.mx 6SoloX 产品使用寿命估算 1 概述 本文档说明了基于质量标准流程中使用的准则对 i.mx 6SoloX 应用处理器进行产品寿命估算 文中所述的产品寿命为估算值, 不代表特定产品的保证使用寿命 2 简介 i.mx 6 系列包含大量的处理器, 提供广泛的

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

使用FlexIO模块模拟I2S总线主机

使用FlexIO模块模拟I2S总线主机 Freescale Semiconductor Document Number: AN4955 应用笔记 Rev 1, 04/2015 使用 FlexIO 模块模拟 I2S 总线主机 作者 : Michael Galda 1 概述 本应用笔记介绍了 FlexIO 外设模块的一种典型用例, 该模块最初是在 Freescale Kinetis KL43 MCU 系列 (ARM CM0+ MCU) 中作为

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

内容 XMC1300 介绍 --- 电机控制相关模块 BLDC APP 使用方法 --- BLDC 3 Hall APP Set date Copyright Infineon Technologies All rights reserved. Page 2

内容 XMC1300 介绍 --- 电机控制相关模块 BLDC APP 使用方法 --- BLDC 3 Hall APP Set date Copyright Infineon Technologies All rights reserved. Page 2 BLDC 控制 基于 XMC1300&APP 2014 英飞凌 XMC 微控制器巡回研讨会 内容 XMC1300 介绍 --- 电机控制相关模块 BLDC APP 使用方法 --- BLDC 3 Hall APP Set date Copyright Infineon Technologies 2011. All rights reserved. Page 2 XMC1000 系列结构介绍 Entry

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

EEPROM Emulation Driver for the Kinetis E Series Microcontrollers Application Note

EEPROM Emulation Driver for the Kinetis E Series Microcontrollers Application Note Freescale Semiconductor 应用笔记 Document Number: AN4903 Rev. 0, 03/2014 面向 Kinetis E 系列微控制器的 EEPROM 仿真驱动器 作者 : 王鹏 可字节编程或字编程且可擦除的电可擦可编程只读存储器 (EEPROM) 在汽车电子控制单元 (ECU) 中经常使用 编程和擦除操作的灵活性使得它适合用于断电时必须保留的以及在运行时需要单独更新的应用变量的数据存储

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

飞思卡尔电机控制方案的发展趋势_shorten.pptx

飞思卡尔电机控制方案的发展趋势_shorten.pptx January, 2011 飞思卡尔新 MCU 产品加速电机控制技术的发展 叶万富 应用工程师 1 通过该课程的学习, 您应该能 目标 了解飞思卡尔提供的基于最新推出微控制器产品的电机控制方案, 其中包括 MP16 DSC 产品系列 PPC Kinetis 了解 MC56F82xx DSC 产品的新特性, 并明确其为何是先进电机控制方案的理想主控产品 2 电机控制和功率变换应用的市场发展趋势 电机控制应用

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12 ZT210/ZT220/ZT230 快 速 参 考 指 南 本 指 南 用 于 指 导 您 执 行 打 印 机 的 日 常 操 作 有 关 详 细 信 息, 请 参 见 用 户 指 南 打 印 机 组 件 图 1 显 示 了 打 印 机 介 质 舱 内 的 组 件 根 据 打 印 机 型 号 和 已 安 装 选 件 的 不 同, 打 印 机 的 外 观 会 略 有 差 别 带 有 标 签 的 组 件

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

本应用笔记的目的是在 MKV10x 器件上实现无传感器 BLDC 电机控制算法 本应用笔记使用 MKV10x 器件的外设模块, 提供 BLDC 电机控制设计的一个实例, 以便用户轻松了解 BLDC 的控制方法 该应用是裸机型 本应用笔记包括 MKV10x 器件的概述 系统设计概念和硬件实施 硬件基于

本应用笔记的目的是在 MKV10x 器件上实现无传感器 BLDC 电机控制算法 本应用笔记使用 MKV10x 器件的外设模块, 提供 BLDC 电机控制设计的一个实例, 以便用户轻松了解 BLDC 的控制方法 该应用是裸机型 本应用笔记包括 MKV10x 器件的概述 系统设计概念和硬件实施 硬件基于 Freescale Semiconductor, Inc. 应用笔记 文档编号 : AN4862 修订版 0,2014 年 2 月 利用 MKV10x 实现三相 BLDC 无传感器控制 作者 : Petr Staszko 1 简介 本应用笔记说明如何使用 Freescale 32 位 Kinetis MKV10x 器件实现无传感器三相无刷直流 (BLDC) 电机控制应用 ( 该应用的说明参见 DRM144:

More information

答辩用模板

答辩用模板 智能控制大赛技术培训 2008 年 6 月 30 日 主要内容 首届智能控制比赛回顾 本届比赛任务 控制核心 信号检测 电机驱动 学生完成的项目 学生完成的项目 参赛队组成 : 每队 3 人, 年级不限 比赛场地 :240cm X 240cm 提供器材 : 飞思卡尔 DSP56F8013 评估板 1 套 ( 可以不使用该评估板, 但是不能使用除 DSP56F8013 以外任何 CPU)

More information

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资 IBM 全 球 企 业 咨 询 服 务 部 IBM 商 业 价 值 研 究 院 案 例 研 究 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 中 国 五 矿 集 团 公 司 ( 以 下 简 称 五 矿 集 团 ) 人 力 资 源 系 统 就 像 一 座 虚 拟 的 人 力 资 源 大 厦, 它 帮 助 五 矿 集 团 创 建 了 一 套 人 力 资 源 的 信 息 标 准, 形 成 了 一

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

加 快 审 阅 和 标 记 工 作 流 程 Acrobat X 通 过 提 供 一 种 可 靠 的 文 件 格 式 扩 展 了 Office 和 SharePoint 的 协 作 服 务, 可 以 使 用 大 多 数 桌 面 应 用 程 序 生 成 这 种 格 式 并 使 用 Acrobat 或 免

加 快 审 阅 和 标 记 工 作 流 程 Acrobat X 通 过 提 供 一 种 可 靠 的 文 件 格 式 扩 展 了 Office 和 SharePoint 的 协 作 服 务, 可 以 使 用 大 多 数 桌 面 应 用 程 序 生 成 这 种 格 式 并 使 用 Acrobat 或 免 使 用 Adobe Acrobat X 增 强 与 Microsoft SharePoint 及 Microsoft Office 的 协 作 通 过 将 基 于 PDF 的 工 作 流 程 集 成 到 SharePoint 和 Office 平 台 缩 短 项 目 审 阅 周 期 目 录 1: 内 容 概 要 1: 协 作 难 题 2: 加 快 审 阅 和 标 记 工 作 流 程 3: Adobe

More information

FlexIO 外设模块的主要特性 2 FlexIO 外设模块的主要特性 FlexIO 是指灵活的输入和输出外设 具有多种功能的高度可配置模块 允许模拟标准通信接口 支持多种协议和外设, 包括 : UART I 2 C SPI I 2 S LCD RGB CMT( 载波调制发送器 ) PWM/ 波形生

FlexIO 外设模块的主要特性 2 FlexIO 外设模块的主要特性 FlexIO 是指灵活的输入和输出外设 具有多种功能的高度可配置模块 允许模拟标准通信接口 支持多种协议和外设, 包括 : UART I 2 C SPI I 2 S LCD RGB CMT( 载波调制发送器 ) PWM/ 波形生 Freescale Semiconductor, Inc. 应用笔记 Document Number: AN5034 Rev. 0, 01/2015 使用 FlexIO 模拟 UART 作者 :Pavel Krenek, 应用工程师 Freescale Roznov, 捷克共和国 1 简介 本应用笔记介绍如何使用通用外设模块 FlexIO 模拟 UART 总线 Freescale Kinetis KL43

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

信 息 披 露 义 务 人 声 明 1 信 息 披 露 义 务 人 依 据 中 华 人 民 共 和 国 公 司 法 中 华 人 民 共 和 国 证 券 法 上 市 公 司 收 购 管 理 办 法 公 开 发 行 证 券 公 司 信 息 披 露 内 容 与 格 式 准 则 第 15 号 权 益 变 动

信 息 披 露 义 务 人 声 明 1 信 息 披 露 义 务 人 依 据 中 华 人 民 共 和 国 公 司 法 中 华 人 民 共 和 国 证 券 法 上 市 公 司 收 购 管 理 办 法 公 开 发 行 证 券 公 司 信 息 披 露 内 容 与 格 式 准 则 第 15 号 权 益 变 动 证 券 代 码 :600565 证 券 简 称 : 迪 马 股 份 重 庆 市 迪 马 实 业 股 份 有 限 公 司 简 式 权 益 变 动 报 告 书 上 市 公 司 名 称 : 重 庆 市 迪 马 实 业 股 份 有 限 公 司 股 票 上 市 地 点 : 上 海 证 券 交 易 所 股 票 简 称 : 迪 马 股 份 股 票 代 码 : 600565 信 息 披 露 义 务 人 : 财 通

More information

, (, ),,,,,, : : ( ), :,,,,,,, ( ), ( ),,,,,, ( ) ( ),, :!,,,,,,,,,,,,,,,,,,,,,,, [1 ] :,,,, :, ;, ( ),, :,,,,,,,,,,, 66

, (, ),,,,,, : : ( ), :,,,,,,, ( ), ( ),,,,,, ( ) ( ),, :!,,,,,,,,,,,,,,,,,,,,,,, [1 ] :,,,, :, ;, ( ),, :,,,,,,,,,,, 66 1997 3 :,,,,,, ( ),,, :,,,,,,,,,, :,,,,,,,,,, ( ), :,,,,,,,,,, ( ) ( ),,,,,,,, ( ) ( ),,,,,, 4 100, ( ),,,,,,, ( ), ( ), ( ),,,, 65 , (, ),,,,,, : : ( ), :,,,,,,, ( ), ( ),,,,,, ( ) ( ),, :!,,,,,,,,,,,,,,,,,,,,,,,

More information

欧盟符合性声明 根据 ATEX 指令 2014/34/ 欧盟用于点燃保护类型 d 或 de 的交流电机用于区域 1, 或 t 用于区域 21 B 版本 : 06/2018 文件 : KonfErkl_ATEX_d_t_B320_1300_05_CN Bauer Gear Mo

欧盟符合性声明 根据 ATEX 指令 2014/34/ 欧盟用于点燃保护类型 d 或 de 的交流电机用于区域 1, 或 t 用于区域 21 B 版本 : 06/2018 文件 : KonfErkl_ATEX_d_t_B320_1300_05_CN Bauer Gear Mo 根据 ATEX 指令 2014/34/ 欧盟用于点燃保护类型 d 或 de 的交流电机用于区域 1, 或 t 用于区域 21 B 320.1300-05 版本 : 06/2018 文件 : KonfErkl_ATEX_d_t_B320_1300_05_CN Eberhard-Bauer 街 37 号 Eberhard-Bauer 街 37 号, 三相鼠笼式电机系列 DNFXD06.., DNFXD07..,

More information

秘密大乘佛法(下)

秘密大乘佛法(下) 印 度 佛 教 史 (25) 101 / 12 / 24 釋 清 德 秘 密 大 乘 佛 法 ( 下 ) 印 度 佛 教 思 想 史 第 十 章 第 三 節 金 剛 乘 與 天 行 一 秘 密 大 乘 稱 金 剛 乘 採 取 表 徵 主 義 1 三 四 五 方 佛 大 乘 佛 法 興 起, 傳 出 十 方 現 在 的 無 數 佛 名 現 在 有 佛 在 世, 可 以 滿 足 佛 涅 槃 後, 佛 弟

More information

!! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :? Page 2

!! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :?  Page 2 ??????...! ; --- --- --- : ---!!! ---!! ---?????... http://www.phpget.cn Page 1 !! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :? http://www.phpget.cn Page 2 ---...?!... :...! :...?!!...!!?!?!...?!

More information

國立臺東高級中學102學年度第一學期第二次期中考高一國文科試題

國立臺東高級中學102學年度第一學期第二次期中考高一國文科試題 國 立 臺 東 高 級 中 學 102 學 年 度 第 一 學 期 第 二 次 期 中 考 高 一 國 文 科 試 題 卷 畫 答 案 卡 : 是 否 ( 班 級 座 號 科 目 代 號 畫 錯 扣 5 分 ) 適 用 班 級 :1-1 1-9 1-11 考 試 範 圍 : 梧 桐 樹 醉 翁 亭 記 古 橋 之 戀 樂 府 詩 選 論 語 選 一 默 寫 : 依 原 文 將 正 確 文 句 填 入

More information

<D2B0D0C4D3C5D1C52DC8CED6BEC7BF202D20BCC7CAC2B1BE>

<D2B0D0C4D3C5D1C52DC8CED6BEC7BF202D20BCC7CAC2B1BE> 任 志 强 回 忆 录 哪 怕 一 个 再 渺 小 的 个 人, 也 可 以 为 一 段 历 史, 甚 至 一 段 很 重 要 的 历 史 背 书! 一 个 变 革 的 时 代, 一 群 过 河 的 人, 一 种 野 心 优 雅 的 实 现! 一 部 民 营 企 业 和 创 业 人 生 的 心 灵 史 生 死 书! 平 时 就 很 敢 说 的 任 志 强, 这 次 说 的 更 赤 裸! 历 时 两

More information

Microsoft Word - Sunday20130908

Microsoft Word - Sunday20130908 權 能 的 來 源 安 童 牧 師 事 工 简 介 : -- 摘 自 安 童 牧 師 2013 年 9 月 8 日 主 日 信 息 神 呼 召 他, 最 初 在 街 上 接 納 養 育 得 痲 瘋 的 孩 子, 神 藉 着 一 个 单 纯 顺 服 的 仆 人 做 了 极 大 的 事 工, 現 在,8000 印 度 兒 童 參 與 他 的 服 事 目 前, 二 萬 三 千 多 兒 童 參 與 他 的

More information

AN4796, 基于FRDM-KE02Z和Tower Board的无传感器BLDC电机控制 - 应用说明

AN4796, 基于FRDM-KE02Z和Tower Board的无传感器BLDC电机控制 - 应用说明 Freescale Semiconductor 文档编号 :AN4796 Application Note Rev. 1, 11/2013 基于 FRDM-KE02Z 和 Tower Board 的无传感器 BLDC 电机控制 1 引言 本应用手册介绍了基于飞思卡尔 FRDM_KE02Z 微控制器的无传感器三相无刷直流电机的控制技术 该设计是基于飞思卡尔的 FRDM-KE02Z 控制板实现的, 在电机控制上它可以实现良好的控制效果

More information

Freescale Semiconductor Document Number: AN4985 应用笔记 Rev. 0,09/2014 使用 Kinetis K22F 和 KV31F MCU 的高速运行模式 本应用笔记针对最新一代 Kinetis K22F 和 KV31F 系列微控制器撰写, 旨在解

Freescale Semiconductor Document Number: AN4985 应用笔记 Rev. 0,09/2014 使用 Kinetis K22F 和 KV31F MCU 的高速运行模式 本应用笔记针对最新一代 Kinetis K22F 和 KV31F 系列微控制器撰写, 旨在解 Freescale Semiconductor Document Number: AN4985 应用笔记 Rev. 0,09/2014 使用 Kinetis K22F 和 KV31F MCU 的高速运行模式 本应用笔记针对最新一代 Kinetis K22F 和 KV31F 系列微控制器撰写, 旨在解释该系列器件新运行模式的优点和用法, 即高速运行模式 (HSRUN) 这些 MCU 基于 120 MHz

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

Avigilon Control Center 6 ACC High Definition Stream Management (HDSM) ACC 6 ( Avigilon Appearance Search ) Avigilon Appearance Search ACC NVR HD Vide

Avigilon Control Center 6 ACC High Definition Stream Management (HDSM) ACC 6 ( Avigilon Appearance Search ) Avigilon Appearance Search ACC NVR HD Vide Avigilon Control Center 6 ACC High Definition Stream Management (HDSM) ACC 6 ( Avigilon Appearance Search ) Avigilon Appearance Search ACC NVR HD Video Appliance HD Network Video Recorder (NVR) HD NVR

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

第10章:CCP捕捉/比较/脉宽调制

第10章:CCP捕捉/比较/脉宽调制 第 10 章 :CCP 捕捉 / 比较 / 脉宽调制 CCP 模块功能 捕捉功能模式 比较功能模式 脉宽调制功能 1 CCP 模块功能 PIC 2 捕捉 比较 脉宽调制模块 CCP1 CCP2(Capture/Compare/PWM) 16 CCPR1 CCPR2 模块 功能 功能 TMR1 TMR2 2 CCP 模块功能 CCP 模块 3 模式 : 捕捉 式 比较 式 脉宽调制 式 捕捉功能 捕捉

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

1 1200 1290 3 12 6 13 18 19 22 26 11 7 1 12 12 11 1883 1933 20 20 1911

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

Microsoft PowerPoint - 4_CCU6.Ppt

Microsoft PowerPoint - 4_CCU6.Ppt 输入捕获 / 输出比较单元 6 (CCU6) 英飞凌 XC800 系列单片机 写在前面 本篇内容为英飞凌科技有限公司 (Infineon Technologies CO., LTD.) 的 XC800 系列单片机的基础篇之一 如无特别说明, 所指的产品为 XC800 系列单片机中的首款型号 :XC866 由于后续芯片会有更多的改进 / 增加措施, 如需要关注其它产品, 需要再结合相应的产品数据手册

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

Microsoft Word - T12_T13_AD_PECÀý³Ì½éÉÜ.doc

Microsoft Word - T12_T13_AD_PECÀý³Ì½éÉÜ.doc 样例程序 : T12 + T13 + AD + PEC 1. 简介本程序实现功能如下 : 配置 CCU6 工作于三相输出模式 使用通道 0 比较匹配中断触发 T13 内部硬件直接实现 T13 工作于 Single shot 模式, 当周期中断时, 硬件触发 ADC (Injection mode) 转换完成以后, 使用 PEC 将存放于 ADC_DAT2 中的转换结果传送到用户指定的数组中 PEC

More information

Kinetis KL1x – 通用超低功耗MCU

Kinetis KL1x – 通用超低功耗MCU Freescale Semiconductor Document Number: KL1XPB 产品简介 Rev 0, 03/2015 Kinetis KL1x 通用超低功耗 MCU 最高 256 KB Flash 和 32 KB SRAM 1 Kinetis L 系列简介 Kinetis L 系列微控制器 (MCU) 的低功耗性能出类拔萃, 既具有新型 ARM Cortex -M0+ 处理器的卓越能效和易用性,

More information

2

2 第六章.DC-DC 升压模块 1 2 3 4 5 程序通过 PB7 口产生 PWM 波控制 tps61165 工作, 完成 LED 串点亮以及电流控制, 可通过 LCD 开发板上的滚轮调节 PWM 波的占空比进而实现电流的调节 ; 通过 PE2 的 ADC 功能读取电压测量电路中的 OPA330 的输出电压, 并根据电路原理图计算出测量点的电压值, 显示在 LCD 上 ; 通过 PE3 的 ADC

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

幻灯片 1

幻灯片 1 双 Buck 逆变器技术研究 肖岚 南京航空航天大学 报告内容 研究背景 三相双 Buck 逆变器的控制技术 三电感双 Buck 逆变器 级联双 Buck 逆变器 总结 2 化石能源 能源危机 环境污染 开发可再生能源 3 可再生能源发电 风能 太阳能 电力电子变换器 并网 燃料电池 4 桥式逆变器拓扑 C 1 L f Q 2 Q 1 Q 2 L f V in V in R Ld + v o - R

More information

在Freescale MQX操作系统下进行电机控制

在Freescale MQX操作系统下进行电机控制 Freescale Semiconductor Document Number: AN4254 应用笔记 Rev 0, 5/2011 在 Freescale MQX 操作系统下进行电机控制 作者 : Libor Prokop 捷克共和国 Roznov 1 简介 本应用笔记介绍了在操作系统 (OS) 中进行电机控制所面临的挑战 还评估了可行的实现方案, 并为在飞思卡尔操作系统 MQX 中加入电机控制应用程序提供了指导

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.77 3.45 不适用 941 HK Equity 82.85 73.40 902 HK Equity

More information

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 为什么要发布 STM32F100 超值型 客户更容易在成本敏感的应用上使用 STM32 一些应用案例 STM32 帮你解决平台问题 太阳能逆变 负载控制 集中器 面临的挑战 : 1. 合适的平台 2. 扩展性和移植性 3. 便于维护 4. 可靠 单相表 归功于 STM32 F100 高性价比, 在单相表开始使用 国网中标

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

LED Smart Lighting with PFC & DALI solution

LED Smart Lighting with PFC & DALI solution 带 PFC 及 DALI 通讯的单芯片 LED 解决方案 瑞萨电子 RL78I1A 系列单片机的应用 瑞萨电子 ( 中国 ) 有限公司通用产品中心应用技术部史子光 2013/07/30 方案组成 主变压器用于 PFC 控制及 LED 等高压部分供电 3 通道 LED 降压驱动电路 主控制 MCU: RL78/I1A 调试接口 变阻器 副变压器, 提供 MCU 及其他低压供电 通讯模式开关 DMX&DALI

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

PIC 单片机的信号测量定时器 TB3129 作者 : 摘要 Ashutosh Tiwari Microchip Technology Inc. 本技术简介介绍了灵活且非常有用的信号测量定时器 (Signal Measurement Timer,SMT) SMT 是一个具有高级时钟和门控逻辑的 24

PIC 单片机的信号测量定时器 TB3129 作者 : 摘要 Ashutosh Tiwari Microchip Technology Inc. 本技术简介介绍了灵活且非常有用的信号测量定时器 (Signal Measurement Timer,SMT) SMT 是一个具有高级时钟和门控逻辑的 24 PIC 单片机的信号测量定时器 作者 : 摘要 Ashutosh Tiwari Microchip Technology Inc. 本技术简介介绍了灵活且非常有用的信号测量定时器 (Signal Measurement Timer,SMT) SMT 是一个具有高级时钟和门控逻辑的 24 位计数器 / 定时器, 在许多 PIC 单片机上可用 它可以测量各种数字信号参数, 例如脉宽 频率 占空比和两个信号边沿之间的时间差

More information

93004A.book

93004A.book 用 dspic DSC MCPWM 模块驱动交流感应电机 作者 : Jorge Zambada Microchip Technology Inc. 如图 1 所示, 电机绕组的电感对 PWM 电压源的电流进行滤波 正如下文即将介绍的, 基于该原理我们可利用 PWM 信号产生正弦波来对三相 ACIM 进行通电 引言 本文档概括介绍了采用 dspic3f 电机控制数字信号控制器系列芯片实现的电机控制 PWM

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8 < 用于 IA (Industrial Appliances)> 8.8 [.5] 8.9 [.5] 9.4 [.7] 11 [4.2] 11 [4.] 14 [5.7] 16 [6.4] 18 [7.0] 21 [8.4] 2 [9.1] 26 [10.1] 26 [10.4] LQ05QDG0 LQ05QDY01 LS07V7DW05 20 RGB 240 240 RGB 20 480 RGB

More information

基于中断和阻塞机制的MQX I2C驱动程序

基于中断和阻塞机制的MQX I2C驱动程序 Freescale Semiconductor Document Number: AN4652 应用笔记 Rev 0, 01/2013 基于中断和阻塞机制的 MQX I2C 驱动程序 作者 : 郭嘉 1 简介 本应用笔记介绍了基于中断和阻塞机制的 MQX 上的 I2C 驱动程序, 屏蔽了 I2C 模块工作的细节并大大简化了应用代码 它包括主机和从机模式 文中代码已在 K60N512-TWR 开发板上经过验证

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

AN3301,使用56F8357器件设计PMSM伺服系统 - 应用说明

AN3301,使用56F8357器件设计PMSM伺服系统 - 应用说明 飞思卡尔半导体应用笔记 文档号 : AN330 第 0 版, 006 年 8 月 基于 56F8357 器件设计 PMSM 伺服系统 简介 伺服系统通常应用在需要高速的瞬时转矩响应 较低的转矩波动 宽广的调速范围和极好的速度调节等定位场合, 比如 NC 机器工具, 工业机器人和其他自动设备 ( 印刷 包装 食品和纺织品 ) 在诸多类型 AC 电机中, 永磁同步电机 (PMSM) 被认为是最适合应用于伺服系统的,

More information

B _02_ch.indd

B _02_ch.indd KDC-X8016BT KDC-X8016BTL KDC-X7016 KDC-X7016L B64-4521-10/02 (MW) 2 KDC-X8016BT/ KDC-X8016BTL/ KDC-X7016/ KDC-X7016L 3 2 > > 1 2 3 4 5 6 AUX 7 8 9 10 11 12 13 14 1 2 3 4 5 6 7 8 9 4 10 38 11 12 13 14 4

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机 CCP/PCA/PWM 模块原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 CCP/PCA/PWM 结构 STC15 系列部分单片机内部集成了三路 CCP/PCA/PWM 模块, 如图 24.1 所示 注 : STC15W1K16S STC15W404S STC15W201S STC15F100W 系列单片机无 CCP/PCA/PWM

More information

概述经过对 PIC 单片机系列产品的持续投资和不断扩展,Microchip 确立了在 8 位单片机领域的领先地位 公司始终侧重于在降低成本的同时开发具有丰富外设组合的产品, 例如 LCD 驱动 PWM ADC 比较器 定时器和通信等外设 在标准外设之外,Microchip 还通过开发独特 独有的外设

概述经过对 PIC 单片机系列产品的持续投资和不断扩展,Microchip 确立了在 8 位单片机领域的领先地位 公司始终侧重于在降低成本的同时开发具有丰富外设组合的产品, 例如 LCD 驱动 PWM ADC 比较器 定时器和通信等外设 在标准外设之外,Microchip 还通过开发独特 独有的外设 集成外设重点介绍 8位PIC 单片机的 新一代外设 8位PIC单片机的独特集成外设 www.microchip.com/8bit 概述经过对 PIC 单片机系列产品的持续投资和不断扩展,Microchip 确立了在 8 位单片机领域的领先地位 公司始终侧重于在降低成本的同时开发具有丰富外设组合的产品, 例如 LCD 驱动 PWM ADC 比较器 定时器和通信等外设 在标准外设之外,Microchip

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

ELECTRI C MACHINES AND CONTROL Vol. 14 No. 6 June 2010 FPGA PWM PWM IP PWM PWM PWM PWM FPGA FPGA DSP SPWM FPGA TM 343 A X 2

ELECTRI C MACHINES AND CONTROL Vol. 14 No. 6 June 2010 FPGA PWM PWM IP PWM PWM PWM PWM FPGA FPGA DSP SPWM FPGA TM 343 A X 2 14 6 2010 6 ELECTRI C MACHINES AND CONTROL Vol. 14 No. 6 June 2010 FPGA 310027 PWM PWM IP PWM PWM PWM PWM FPGA FPGA DSP SPWM FPGA TM 343 A 1007-449X 2010 06-0051- 05 Applicaion of FPGA in high-power muliphase

More information

Moto Z

Moto Z Moto G Moto NFC 12:36 SIM microsd > / 0.5 SAR SAR SAR https://motorola.com/sar SAR > > www.motorola.com/rfhealth Moto / / www.motorola.com/device-legal /USB-C WLAN WLAN WLAN Moto Mods Nano SIM MicroSD

More information

社 心 版 中 出 版 学 科 术出 k.cn 技 boo 教.a 职 ww w 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 社 心 版 中 出 版 学 n 出 c 科 术 k. o 技 o b 教 a 职 ww. w 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学

More information

Guidelines for control and customization of power boards with STM32 MC SDK v5.0 - Application note

Guidelines for control and customization of power boards with STM32 MC SDK v5.0 - Application note 应用笔记 STM32 MC SDK v5.0 电源板的控制和自定义指南 引言 本文档的目标读者是希望利用基于 ST 电机控制 (MC) 板自行设计的应用板驱动永磁同步电机 (PMSM) 的用户 为此, 本文档回顾了硬件 固件以及相关电机控制软件工具 对于新项目, 用户可直接使用 STM32 MC SDK v5.0(x-cube-mcsdk 和 X-CUBE-MCSDK- FUL) 随附的电机控制 PC

More information

AN4767.fm

AN4767.fm Freescale Semiconductor 应用说明 Document Number: AN4767 Rev. 0, 7/2013 Kinetis E 系列上的 UART Boot Loader 设计 通过 : Wang Peng 在一个特定的场合, 很多应用或产品都需要升级固件, 以修复 Bug 或提高性能 大多数人都不愿意使用专用的调试接口, 而是使用 UART USB I2C 等通信接口

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

美卓 SUPERIOR 旋回破碎机

美卓 SUPERIOR 旋回破碎机 美卓 SUPERIOR 旋回破碎机 2 SUPEIOR 吨耗低 品质的由来 SUPERIOR SUPERIOR 1,500 SUPERIOR SUPERIOR 产品系列 SUPERIOR 2010-8890 / (2200-9800stph) 1575mm(62") SUPERIOR 60-89 美卓 美卓 SUPERIOR 粗碎旋回破碎机 高效破碎作业的第一选择 经验与技术创新的完美结晶粗碎破碎的理想选择

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information