利用MC56F84789的PWM和ADC驱动双PMSM电机FOC

Size: px
Start display at page:

Download "利用MC56F84789的PWM和ADC驱动双PMSM电机FOC"

Transcription

1 Freescale Semiconductor Document Number: AN4608 应用笔记 Rev 0, 10/2012 利用 MC56F84789 的 PWM 和 ADC 驱动双 PMSM 电机 FOC 作者 : Jaroslav Musil 1 简介 飞思卡尔数字信号控制器 (DSC) 具有强大的计算能力和灵活的外设, 因此对应用的要求也较高 其中一个要求是用单个处理器驱动两台磁场定向控制 (FOC) 的永磁同步电机 (PMSM) 用单个处理器执行双 PMSM FOC 会增加应用的复杂性, 主要表现在以下方面 : 两台电机的 PWM 模块同步 ; 两个 PWM 模块的 ADC 同步, 包括在正确的时间点触发 ADC; 最后是计算两台电机快速和慢速控制环的时间 本应用笔记旨在为以下问题提供指导 : 如何设置和同步两个 PWM 模块 如何及在何处通过 PWM 模块产生 ADC 模块的触发信号 何时对两台电机的 FOC 算法进行快速环和慢速环计算 内容 1 简介 数字信号控制器 (DSC) 配置步骤 PWM 配置 PWM A 和 PWM B 同步 ADC 的 PWM 触发信号 ADC 配置 PWM 和 ADC 信号互连 触发信号启动顺序 读取 ADC 采样 完整代码 定义和首字母缩略词 数字信号控制器 (DSC) MC56F84789 是适合双电机控制应用的 DSC 该控制器具有下列有利于应用的特性 : 100 MHz 内核和外设时钟 两个 4 通道 PWM 模块, 可提供多个触发信号 高速 12 位 ADC, 可对两个信号进行同步采样 两个用于互连外设间信号的交叉单元 2012 Freescale Semiconductor, Inc.

2 配置步骤 用于对外设之间信号进行逻辑合并的与或非模块 带优先级的中断控制器 该处理器还具有许多其他模块, 但本应用笔记仅讨论上述模块 图 1 显示了处理器信号如何连接到板上的电子器件 图 1. 处理器与电路板的连接 3 配置步骤为了正确配置 DSC, 请按以下步骤操作 : PWM 配置 : 配置 PWM A 和 B 模块以产生驱动电机的信号 PWM A 和 B 同步 : 同步 PWM A 和 B 信号, 使其在 90 度相移范围内 ADC 的 PWM 触发信号 : 设置触发 ADC 对信号采样的时间点 ADC 配置 : 配置 ADC 模块对所需信号进行采样 PWM 和 ADC 信号互连 : 配置 crossbar 和 AOI 模块, 把 PWM 触发信号连接到 ADC 同步输入 触发信号启动顺序 : 使触发信号按照与 ADC 通道顺序一致的顺序启动 读取 ADC 采样 : 设置中断以读取采样值并调用算法 后续章节将对上述所有步骤进行详细说明 2 Freescale Semiconductor, Inc.

3 PWM 配置 4 PWM 配置 在此应用的示例中, 将用相同的 PWM 频率和相同的快速控制环计算频率来驱动两台电机 PWM 频率为 10 khz, 快速环计算相对于 PWM 频率的比为 1:1, 因此也是 10 khz 电机 1 将使用 PWM A 模块, 即子模块 0 2; 电机 2 将使用 PWM B 模块, 即子模块 0 2; 互补模式中采用非反相的输出逻辑 第一步是按图 2 所示配置 PWM A 和 PWM B PWM 时钟 图 2. PWM 配置 为给两个 PWM 模块提供时钟, 需要配置系统集成模块 (SIM) 中的外设时钟寄存器 3(SIM_PCE3) 可使用以下语句来使能 PWM A 通道 0 2 的时钟 : SIM_PCE3 = (SIM_PCE3_PWMACH0 SIM_PCE3_PWMACH1 SIM_PCE3_PWMACH2); 可使用以下语句来使能 PWM B 通道 0 2 的时钟 : SIM_PCE3 = (SIM_PCE3_PWMBCH0 SIM_PCE3_PWMBCH1 SIM_PCE3_PWMBCH2); PWM 控制寄存器 两台电机均使用每半个周期重裁一次 PWM 时钟频率为最大值, 故预分频值为 1 因此,PWM A 和 PWM B 模块的控制寄存器将用以下语句进行设置 : 电机 1: PWMA_SM0CTRL = PWMA_SM0CTRL_HALF; PWMA_SM1CTRL = PWMA_SM1CTRL_HALF; PWMA_SM2CTRL = PWMA_SM2CTRL_HALF; 电机 2: PWMB_SM0CTRL = PWMB_SM0CTRL_HALF; PWMB_SM1CTRL = PWMB_SM1CTRL_HALF; PWMB_SM2CTRL = PWMB_SM2CTRL_HALF; PWM 控制 2 寄存器 Freescale Semiconductor, Inc. 3

4 PWM 配置 为使子模块协同工作, 需要正确设置 PWM 控制 2 寄存器 1. 在调试和等待模式下使能 PWM, 强制使通道 0 初始化 2. 对于通道 1 和 2, 还要设置其他位 : 子模块 0 主同步的初始化位 更新所用的主重载信号 用于重载值寄存器的子模块 0 主重载位 将用作时钟源的子模块 0 时钟 两个模块的配置寄存器均可利用以下语句进行配置 电机 1: PWMA_SM0CTRL2 = 0xC080; PWMA_SM1CTRL2 = 0xC20E; PWMA_SM2CTRL2 = 0xC20E; 电机 2: PWMB_SM0CTRL2 = 0xC080; PWMB_SM1CTRL2 = 0xC20E; PWMB_SM2CTRL2 = 0xC20E; PWM 模数寄存器设置 产生 10 khz 的 PWM 模数源自 100 MHz 时钟 因此, 模数寄存器为 100 MHz / 10 khz = 10,000 PWM 模块的 INIT 寄存器值是计数器起始值,VAL1 寄存器值是计数器重新初始化值 因此,INIT 值设置为半模数寄存器值的负值, VAL1 值设置为半模数寄存器值 1 的正值 重载在半周期时发生, 即在这两个值的中间值处发生 简而言之 : INIT = 5000 (0xEC78),VAL1 = 4999 (0x1387),VAL0 = 0 可使用以下语句进行配置 电机 1: PWMA_SM0INIT = 0xEC78; PWMA_SM1INIT = 0xEC78; PWMA_SM2INIT = 0xEC78; PWMA_SM0VAL1 = 0x1387; PWMA_SM1VAL1 = 0x1387; PWMA_SM2VAL1 = 0x1387; PWMA_SM0VAL0 = 0x0000; PWMA_SM1VAL0 = 0x0000; PWMA_SM2VAL0 = 0x0000; 电机 2: PWMB_SM0INIT = 0xEC78; PWMB_SM1INIT = 0xEC78; PWMB_SM2INIT = 0xEC78; PWMB_SM0VAL1 = 0x1387; PWMB_SM1VAL1 = 0x1387; PWMB_SM2VAL1 = 0x1387; PWMB_SM0VAL0 = 0x0000; PWMB_SM1VAL0 = 0x0000; PWMB_SM2VAL0 = 0x0000; 50% 占空比初始化 为使模块在 50% 占空比处初始化, 需要设置 VAL2 和 VAL3 寄存器 由于采用互补模式,PWM 边沿的产生不使用 VAL4 和 VAL5 寄存器 VAL2 等于半模数寄存器值除以 2 所得值的负值,VAL3 等于半模数寄存器值除以 2 所得值的正值 因此,VAL2 = 2500 (0xF63CU),VAL3 = 2500 (0x09C3) 可使用以下语句进行配置 电机 1: 4 Freescale Semiconductor, Inc.

5 PWM 配置 PWMA_SM0VAL2 = 0xF63C; PWMA_SM1VAL2 = 0xF63C; PWMA_SM2VAL2 = 0xF63C; PWMA_SM0VAL3 = 0x09C3; PWMA_SM1VAL3 = 0x09C3; PWMA_SM2VAL3 = 0x09C3; 电机 2: PWMB_SM0VAL2 = 0xF63C; PWMB_SM1VAL2 = 0xF63C; PWMB_SM2VAL2 = 0xF63C; PWMB_SM0VAL3 = 0x09C3; PWMB_SM1VAL3 = 0x09C3; PWMB_SM2VAL3 = 0x09C3; 2 µs 死区时间 要设置死区时间, 需要配置 DTCNT0( 顶部开关 ) 和 DTCNT1( 底部开关 ) 寄存器值 该值从模块时钟获得, 如果时间为 2 µs, 该值为 100 MHz x 2 µs = 200 (0x00C8) 以下代码可配置死区时间 : 电机 1: PWMA_SM0DTCNT0 = 0x00C8; PWMA_SM1DTCNT0 = 0x00C8; PWMA_SM2DTCNT0 = 0x00C8; PWMA_SM0DTCNT1 = 0x00C8; PWMA_SM1DTCNT1 = 0x00C8; PWMA_SM2DTCNT1 = 0x00C8; 电机 2: PWMB_SM0DTCNT0 = 0x00C8; PWMB_SM1DTCNT0 = 0x00C8; PWMB_SM2DTCNT0 = 0x00C8; PWMB_SM0DTCNT1 = 0x00C8; PWMB_SM1DTCNT1 = 0x00C8; PWMB_SM2DTCNT1 = 0x00C8; 禁用故障 本例不使用故障逻辑, 因此需要利用以下语句禁用故障映射寄存器 电机 1: PWMA_SM0DISMAP0 = 0; PWMA_SM1DISMAP0 = 0; PWMA_SM2DISMAP0 = 0; PWMA_SM0DISMAP1 = 0; PWMA_SM1DISMAP1 = 0; PWMA_SM2DISMAP1 = 0; 电机 2: PWMB_SM0DISMAP0 = 0; PWMB_SM1DISMAP0 = 0; PWMB_SM2DISMAP0 = 0; PWMB_SM0DISMAP1 = 0; PWMB_SM1DISMAP1 = 0; PWMB_SM2DISMAP1 = 0; LDOK 位 Freescale Semiconductor, Inc. 5

6 PWM A 和 PWM B 同步 运行 PWM 之前的最后一步是利用以下代码清除和设置 MCTRL[LDOK] 电机 1: PWMA_MCTRL = PWMA_MCTRL_CLDOK_0 PWMA_MCTRL_CLDOK_1 PWMA_MCTRL_CLDOK_2; PWMA_MCTRL = PWMA_MCTRL_LDOK_0 PWMA_MCTRL_LDOK_1 PWMA_MCTRL_LDOK_2; 电机 2: PWMB_MCTRL = PWMB_MCTRL_CLDOK_0 PWMB_MCTRL_CLDOK_1 PWMB_MCTRL_CLDOK_2; PWMB_MCTRL = PWMB_MCTRL_LDOK_0 PWMB_MCTRL_LDOK_1 PWMB_MCTRL_LDOK_2; 将 PWM A 和 PWM B 配置为可产生图 1 所示的信号 但为了从引脚输出信号, 还必须正确配置 GPIO 引脚 某些 GPIO E 和 GPIO G 引脚必须设置为外设 若有多个外设选项, 必须选择 PWM 选项 还必须使能 GPIO E 和 GPIO G 时钟 代码如下 : /* Enable GPIOE clock */ SIM_PCE0 = SIM_PCE0_GPIOE; /* PWMA PWMB */ GPIOE_PER = (GPIOE_PER_PE_0 GPIOE_PER_PE_1 GPIOE_PER_PE_2 GPIOE_PER_PE_3 GPIOE_PER_PE_4 GPIOE_PER_PE_5 GPIOE_PER_PE_8 GPIOE_PER_PE_9); SIM_GPSEL &= ~(SIM_GPSEL_E4 SIM_GPSEL_E5); SIM_GPSEH &= ~(SIM_GPSEH_E8 SIM_GPSEH_E9); /* Enable GPIOG clock */ SIM_PCE0 = SIM_PCE0_GPIOG; /* PWM B */ GPIOG_PER = (GPIOG_PER_PE_0 GPIOG_PER_PE_1 GPIOG_PER_PE_2 GPIOG_PER_PE_3); SIM_GPSGL &= ~(SIM_GPSGL_G0 SIM_GPSGL_G1 SIM_GPSGL_G2 SIM_GPSGL_G3); 最后只需将运行命令发送至模块以开始产生信号, 并在引脚上输出 PWM 信号 此时不得启动 PWM 模块, 因为需要同步两个 PWM 5 PWM A 和 PWM B 同步 PWM A 和 PWM B 已完成配置, 但尚未启动 因此, 下一步便是以某种方式同步 PWM 模块 要在每个 PWM 周期中执行快速环算法, 必须让 PWM 信号相互移位, 因为不可能同时执行两台电机的算法 因此, 必须使 PWM 信号移位 为了有效分配来自直流总线电容的能量, 建议避免同时切换, 也就是要移位 180 度 MC56F84789 处理器具有强大的计算能力, 能够快速高效地执行 FOC 算法, 信号将移位 90 度以获得最优结果 两个 PWM 模块所需的信号如图 3 所示 PWM 90 度移位原理 如图 3 所示,PWM B INIT 值相对于 PWM A INIT 值滞后 90 度 此移位等于模数除以 4 当施加 PWM RUN 信号时,PWM 模块从 INIT 值递增计数, 这对该应用是有利的 同步 PWM 模块的过程包括 : 启动 PWM A 模块 在模数 /4 时刻从 PWM A 模块产生一个中断 依据所产生的 PWM A 模块中断, 启动 PWM B 模块 禁用此 PWM A 模块事件的中断 模数 /4 事件配置 启动 PWM A 模块之前, 必须对模数 /4 事件中断进行编程 为产生此类事件, 将使用未使用的 VAL5 值 无论哪个子模块产生此事件都是可行的 本例使用子模块 1 VAL5 值 在代码中, 子模块 1 VAL5 使用模数 /4 进行编程, 为 2500 (0xF63C); 此子模块的比较中断须使能, 并且必须对中断控制器进行编程以使能此中断 6 Freescale Semiconductor, Inc.

7 PWM A 和 PWM B 同步 代码如下 : /* Sync for the other motor's PWM */ PWMA_SM1VAL5 = 0xF63C; /* Compare interrupt of Value 5, used at init to sync PWM A and PWM B */ PWMA_SM1INTEN = PWMA_SM1INTEN_CMPIE_5; /* Interrupt for the SM1 CMP Level 2 */ INTC_IPR9 = INTC_IPR9_PWMA_CMP1; VAL5 比较中断服务例程 图 3. PWM A 和 PWM B 同步 PWM 子模块 1 VAL5 比较中断已配置完毕 现在必须创建中断服务例程 (ISR) 以启动 PWM B 模块 ISR 的名称为 IsrPWMSync 此例程的原型必须在代码的原型部分创建 : void IsrPWMSync(void); 此函数的名称必须复制到向量表中 ; 如果使用默认 CodeWarrior 10.2 项目模板, 则它位于文件 MC56F847xx_vector.asm 中 (Project_Settings\Startup_Code 目录下 ) 因此, 在地址 0xAA,PWM A 子模块 1 的 85 号中断将包含以下语句 : JSR >FIsrPWMSync 函数体本身包含以下操作 : if 条件, 如果源是 VAL5 比较 对 PWM B 模块应用 RUN 命令 禁用 VAL5 比较中断 清除 VAL5 比较标志 包含所有这些操作的代码如下 : Freescale Semiconductor, Inc. 7

8 ADC 的 PWM 触发信号 #pragma interrupt alignsp void IsrPWMSync(void) if ((PWMA_SM1STS & PWMA_SM1STS_CMPF_5) > 0 ) /* Starts PWM B */ PWMB_MCTRL = PWMB_MCTRL_RUN_0 PWMB_MCTRL_RUN_1 PWMB_MCTRL_RUN_2; PWM A 启动 /* Disable PWM SM1 CMP interrupt from 5 */ PWMA_SM1INTEN &= ~PWMA_SM1INTEN_CMPIE_5; /* Clears compare flag */ PWMA_SM1STS = PWMA_SM1STS_CMPF_5; PWM A 和 B 通道已配置完毕, 同步事件已设置, 中断服务例程已写好 现在只需对 PWM A 应用 RUN 命令并使能引脚输出 PWM A 和 PWM B 信号 启动 PWM A 后, 它将产生已编程的子模块 1 VAL5 比较中断,PWM B 随即启动, 此中断随后被禁止 启动 PWM A 的代码如下 (PWM A 和 PWM B 模块配置完毕且设置比较中断之后, 需要调用此命令 ): PWMA_MCTRL = PWMA_MCTRL_RUN_0 PWMA_MCTRL_RUN_1 PWMA_MCTRL_RUN_2; 使能引脚输出 PWM A 和 PWM B 信号的命令如下 : PWMA_OUTEN = (PWMA_OUTEN_PWMA_EN_0 PWMA_OUTEN_PWMB_EN_0 PWMA_OUTEN_PWMA_EN_1 PWMA_OUTEN_PWMB_EN_1 PWMA_OUTEN_PWMA_EN_2 PWMA_OUTEN_PWMB_EN_2); PWMB_OUTEN = (PWMB_OUTEN_PWMA_EN_3 PWMB_OUTEN_PWMB_EN_3 PWMB_OUTEN_PWMA_EN_1 PWMB_OUTEN_PWMB_EN_1 PWMB_OUTEN_PWMA_EN_2 PWMB_OUTEN_PWMB_EN_2); 图 3 显示了引脚上的信号, 示波器上也可观察到这些信号 6 ADC 的 PWM 触发信号 PMSM 的 FOC 要求测量控制算法所用的模拟信号 此类控制需要的模拟量为电机相位电流和直流总线电压 通常, 电流在底部 MOSFET( 或 IGBT) 下方连接的分流电阻处测量 请参见图 4 在这种结构中, 电机电流只能在特定底部 MOSFET 接通时得到 因此,ADC 必须与 PWM 信号同步 ( 参见图 5 ) 可在底部 MOSFET 接通时立即测量电流 ; 若在底部 MOSFET 断开时立即测量, 只能得到 ADC 通道的偏移量 此时间点将用于校准 ADC 通道偏移量, 因为预期值是已知值 直流总线电压对测量时间点没有这种要求 8 Freescale Semiconductor, Inc.

9 ADC 的 PWM 触发信号 图 4. 三相逆变器原理图 图 5. 测量电机电流的最佳时间 总而言之, 需要测量以下量 : 电机 1 的 2 个电流通道的偏移量 ( 第 3 个电流通过计算获得 ) 电机 1 的 2 个电流 电机 2 的 2 个电流通道的偏移量 ( 第 3 个电流通过计算获得 ) 电机 2 的 2 个电流 直流总线电压 Freescale Semiconductor, Inc. 9

10 ADC 配置 PWM 触发信号 图 6. 电流和偏移量测量点 图 6 指出了电流及其偏移量的测量点 可以看到, 电流测量点稍微落后于 INIT 值, 偏移量测量点稍微落后于 VAL0 值 PWM 模块采用互补切换模式, 因此可将 VAL4 和 VAL5 值用作 ADC 的触发信号 本例使用的死区时间为 2 µs, 假设还有一定的硬件延迟, 最终需要应用的延迟时间将是 3.25 µs 因此,VAL4 值将为 : INIT µs / 100 MHz = 4675 (0xEDBD) VAL5 值将为 : VAL µs / 100 MHz = 325 (0x0145) 使用子模块 0 来产生这些触发信号 这些 PWM 值的编程代码语句如下 : 电机 1: PWMA_SM0VAL4 = 0xEDBD; /* Current measurement value */ PWMA_SM0VAL5 = 0x0145; /* Offset measurement value */ 电机 2: PWMB_SM0VAL4 = 0xEDBD; /* Current measurement value */ PWMB_SM0VAL5 = 0x0145; /* Offset measurement value */ 此时不得使能触发信号 触发信号必须按照触发信号启动顺序所述的特定顺序加以使能 7 ADC 配置 为了对模拟量进行采样, 将在同步模式下使用快速 12 位 ADC A 和 ADC B 同步模式是 ADC 的一个出色特性, 正是由于这个特性, 我们才能同时获取两个电流值 以下步骤说明如何正确设置 ADC ADC 时钟 为给 ADC 提供时钟, 需要配置系统集成模块 (SIM) 中的外设时钟寄存器 2(SIM_PCE2) 使能 ADC 时钟的语句如下 : SIM_PCE2 = SIM_PCE2_CYCADC; 控制寄存器 1 10 Freescale Semiconductor, Inc.

11 ADC 配置 此寄存器用于配置 ADC 行为 要配置此寄存器, 请执行以下操作 : 设置硬件同步 ( 这对用 PWM 模块信号启动 ADC 必不可少 ) 使能扫描结束中断 设置 ADC 并行扫描模式 停止模式未激活 所有通道均为单端 已关闭其他中断和 DMA 通道 语句如下 : ADC12_CTRL1 = 0x1805; 控制寄存器 2 此寄存器用于配置 ADC A 和 B 转换器同步工作, 时钟将设为 20 MHz 以使 ADC 工作 语句如下 : ADC12_CTRL2 = ADC12_CTRL2_DIV0_2 ADC12_CTRL2_SIMULT; 电源控制寄存器 此寄存器用于控制 ADC 的电源选项 要配置此寄存器, 请执行以下操作 : 必须给 ADC 转换器上电 上电延迟 选项保持默认值, 即 26 个时钟周期 代码如下 : ADC12_PWR = 0x01A0; 电源控制寄存器 2 此寄存器用于配置 ADC B 转换器的时钟和两个转换器的速度 B 转换器的时钟为 20 MHz, 两个转换器的速度设为 20 MHz 语句如下 : ADC12_PWR2= ADC12_PWR2_SPEEDA ADC12_PWR2_SPEEDB ADC12_PWR2_DIV1_2; 通道列表寄存器 现在需要配置通道列表寄存器 两个 ADC 转换器均有 8 个通道, 也就是一次能够对 8 个通道进行采样, 并且可保存 ADC A 和 ADC B 的结果 如果这两个转换器以同步模式运行, 则 A 转换器仅对 ANAx 通道进行采样,B 转换器仅对 ANBx 通道进行采样 本例在 ANA0 和 ANB0 上对电机 1 电流进行采样, 在 ANA2 和 ANB2 上对电机 2 电流进行采样 直流总线电压在 ANB4 通道上采样 图 7 显示了通道配置 为将通道与特定采样通道相关联, 使用了四个通道列表寄存器 每个寄存器配置四个样本 设置通道的代码如下 : ADC12_CLIST1 = 0x0200; ADC12_CLIST2 = 0x0002; ADC12_CLIST3 = 0x8AC8; ADC12_CLIST4 = 0x888A; 采样禁用寄存器 本例仅使用各转换器的 5 个采样通道 其余采样通道必须禁用 完成最后一个使能的采样通道后,ADC 会产生扫描结束中断信号 要根据图 7 禁用未使用的通道, 可使用如下代码设置寄存器 : ADC12_SDIS = 0xE0E0; 扫描控制寄存器 另一个非常有用的特性是扫描控制寄存器 借助扫描控制寄存器, 能够对多个事件同步采样, 完成最后一个使能的采样后, 会产生扫描结束中断信号 此流程可参见图 7 的 Sync 行 Freescale Semiconductor, Inc. 11

12 PWM 和 ADC 信号互连 1. 出现第一个同步信号 ( 来自 PWM 的触发信号 ) 时,ADC 对电机 1 两个相位的电流偏移量进行采样 ; 由于下一个同步信号在样本 2 处设置, 样本 1 和 9 也会被转换,ADC 随后将停止 因此, 直流总线电压在通道 9 上 2. 下一个同步信号到达时,ADC 对电机 2 的偏移量进行采样 3. 对于下一个同步信号,ADC 对电机 1 的电流进行采样, 然后停止 4. 对于再下一个同步信号,ADC 对电机 2 的电流进行采样, 然后停止并产生扫描结束中断信号 对于新的同步信号, 上述过程重新开始 图 7. ADC 样本和通道 要配置此同步特性, 应使用扫描控制寄存器 同步信号与通道 0 2 3( 位 0 2 3) 和通道 4( 位 8) 相关联 示例代码如下 : ADC12_SCTRL = 0x010D; 模拟 (AN) 引脚配置 要从引脚读出模拟值, 必须利用 ADC 选项将 GPIO A 和 GPIO B 引脚 0 7 配置为外设 GPIO 模块的时钟必须使能 代码如下 : /* Enable GPIOA clock */ SIM_PCE0 = SIM_PCE0_GPIOA; /* ADCA */ GPIOA_PER = (GPIOA_PER_PE_0 GPIOA_PER_PE_1 GPIOA_PER_PE_2 GPIOA_PER_PE_3 GPIOA_PER_PE_4 GPIOA_PER_PE_5 GPIOA_PER_PE_6 GPIOA_PER_PE_7); SIM_GPSAL &= ~(SIM_GPSAL_A0); /* Enable GPIOB clock */ SIM_PCE0 = SIM_PCE0_GPIOB; /* ADCB */ GPIOB_PER = (GPIOB_PER_PE_0 GPIOB_PER_PE_1 GPIOB_PER_PE_2 GPIOB_PER_PE_3 GPIOB_PER_PE_4 GPIOB_PER_PE_5 GPIOB_PER_PE_6 GPIOB_PER_PE_7); 8 PWM 和 ADC 信号互连到目前为止, 已完成了下述步骤 : 已配置并同步 PWM 模块 已设置产生触发信号的 PWM 位置 已对 ADC 模块和通道进行配置 已正确设置 ADC 采样的同步位 12 Freescale Semiconductor, Inc.

13 PWM 和 ADC 信号互连 下一步是要将 PWM A 和 PWM B 模块的触发信号正确连接到 ADC 同步信号输入 为此, 应使用外设 crossbar A (XBAR A) XBAR A 能够将一个外设的一路输出连接到一路输入, 但此系统有来自两个外设的四个触发信号和一路 ADC 输入 在这种情况下, 必须对这些触发信号进行逻辑 或 运算, 并将执行 或 运算后的信号送至 ADC 输入 能够对信号进行逻辑 或 运算的模块是与 / 或 / 非 (AOI) 模块 为了在 AOI 输入端获取 PWM 触发信号, 还要使用一个模块, 即外设 crossbar B(XBAR B) 请参见图 8 XBAR B 配置 图 8. PWM 和 ADC 互连 XBAR B 用于将外设输出连接到 AOI 模块 两个 PWM 模块均使用 VAL4 和 VAL5 寄存器来产生触发信号 这些触发信号分为两组 :PWM_OUT_TRIG0 和 PWM_OUT_TRIG1 第一组包括 VAL0 VAL2 和 VAL4 触发信号, 第二组包括 VAL1 VAL3 和 VAL5 触发信号 这意味着两个 PWM 模块均会发出两个输出信号 XBAR B 的前四路输入连接到 AOI 的乘积项 0, 因此,PWM 信号按照如下方式连接到 XBAR B: PWMA 子模块 0 触发信号 (PWMA0_TRG0 PWMA0_TRG1) 连接到 AOI 乘积项 0 输入 0 PWMB 子模块 0 触发信号 (PWMB0_TRG0 PWMB0_TRG1) 连接到 AOI 乘积项 0 输入 1 因此,XBAR_IN8 和 XBAR_IN22 输入将被分配给前两路 XBAR B 输出 代码如下 : XBARB_SEL0 = 8 (22 << 8); AOI 配置 顾名思义, 此模块能够执行与 / 或 / 非逻辑运算 此应用受益于 或 和 与 运算, 未使用 非 运算 与 / 或运算符的工作方式请参见图 8 仅使用两个输入信号, 这些信号连接到 与 门的一路输入 两个 与 门的其余三路输入强制设为逻辑 1, 两个 与 门的未使用输入强制设为逻辑 0 这种设置只会将两个 PWM 信号传输至 或 门输入 或 门输出将从 AOI 发出 配置代码如下 : AOI_BFCRT010 = AOI_BFCRT010_PT0_AC_0 AOI_BFCRT010_PT0_BC AOI_BFCRT010_PT0_CC AOI_BFCRT010_PT0_DC AOI_BFCRT010_PT1_AC AOI_BFCRT010_PT1_BC_0 AOI_BFCRT010_PT1_CC AOI_BFCRT010_PT1_DC; AOI_BFCRT230 = 0; XBAR A 配置 Freescale Semiconductor, Inc. 13

14 触发信号启动顺序 最后一个信号路径是将 AOI 输出连接到 ADC 此配置非常简单, 只需将 XBAR A AND_OR_INVERT_0 的输入 (AOI 输出 0) 连接到 XBAR A 输出 XBAR_OUT12(ADC A 触发信号 ) 示例代码如下 : XBARA_SEL6 = 46; 9 触发信号启动顺序 所有外设都已正确配置, 能够在要求的时间点上产生触发信号 信号经过逻辑处理后, 送至 ADC 同步脉冲输入端 最后一步是启动触发信号 ADC 配置为按如下顺序执行采样操作 : 电机 1 的偏移量 电机 2 的偏移量 电机 1 的电流 电机 2 的电流 从图 6 可推断出,PWM A VAL5 触发信号必须第一个出现,PWM B VAL4 必须最后一个出现 然后, 重复该过程 为确保到达 ADC 的第一个触发信号是来自 PWM A VAL5, 应对 PWM B VAL4 比较事件进行编程, 以产生一个支持所有触发信号的中断 然后禁用此比较事件中断,PWM 和 ADC 同步保持不变 在代码中, 必须使能 PWM B 子模块 0 VAL4 比较中断, 并且必须对中断控制器进行编程, 以使能此中断 代码如下 : /* Compare interrupt of Value 4 */ PWMB_SM0INTEN = PWMB_SM0INTEN_CMPIE_4; /* Interrupt for the SM0 CMP Level 2 */ INTC_IPR8 = INTC_IPR8_PWMB_CMP0; VAL4 比较中断服务例程 PWM B 子模块 0 VAL4 比较中断已配置完毕 现在必须创建中断服务例程 (ISR) 以使能触发信号 ISR 的名称为 IsrPWM 此例程的原型必须在代码的原型部分创建 void IsrPWM(void); 此函数的名称必须复制到向量表中 ; 如果使用默认 CodeWarrior 10.2 项目模板, 则它位于文件 MC56F847xx_vector.asm 中 (Project_Settings\Startup_Code 目录下 ) 因此, 在地址 0x98,PWM B 子模块 0 的 76 号中断将包含以下语句 : JSR >FIsrPWM 函数体本身包含以下操作 : 使能 PWM A 和 B 子模块 0 VAL4 和 VAL5 触发信号 对 PWM B 模块应用 RUN 命令 禁用 PWM B 子模块 0 VAL4 比较中断 在中断控制器中禁用 PWM B 子模块 0 比较中断 清除 PWM B 子模块 0 VAL4 比较标志 包含所有这些操作的代码如下 : #pragma interrupt alignsp void IsrPWM(void) /* Disable PWM B SM0 CMP VAL4 interrupt */ PWMB_SM0INTEN &= ~PWMB_SM0INTEN_CMPIE_4; /* Enable triggers on VAL4 and VAL5*/ PWMA_SM0TCTRL = PWMA_SM0TCTRL_OUT_TRIG_EN_4 PWMA_SM0TCTRL_OUT_TRIG_EN_5; 14 Freescale Semiconductor, Inc.

15 读取 ADC 采样 PWMB_SM0TCTRL = PWMB_SM0TCTRL_OUT_TRIG_EN_4 PWMB_SM0TCTRL_OUT_TRIG_EN_5; /* Disables the interrupt in the INTC */ INTC_IPR8 &= ~INTC_IPR8_PWMB_CMP0; /* Clears compare flag */ PWMB_SM0STS = PWMB_SM0STS_CMPF_4; 现在, 所有模块均已配置完毕并同步, 可以开始正常工作 10 读取 ADC 采样 现在, 所有外设都在工作, 并且 ADC 在规定的时间点对所需的反馈进行采样 最后一项必须完成的任务是读取 ADC 的采样值, 并在控制算法中加以使用 如果仅控制一台电机, 可以利用 ADC 扫描结束中断来读取采样值并执行控制算法 但在本例中, 有两台电机需要控制, 最好把算法计算分散在不同时间执行 此外, 第一台电机的计算无需等到第二台电机的 ADC 转换完成, 因为前一台电机的反馈比后一台电机的反馈早 90 度就绪 最后,PWM 更新必须在重载之前进行, 否则系统会延迟一个周期, 稳定性会变差 因此, 控制算法将在三个时间点执行 ( 参见图 9 ): 电机 1 快速环控制算法在其电流反馈采样后立即执行 电机 2 快速环控制算法在 ADC 扫描结束中断时执行 电机 1 和电机 2 慢速环计算及 ADC 电流偏移量更新在 PWM B 半周期处执行 电机 1 快速环 从图 9 可看到, 电机 1 电流反馈早在扫描结束中断之前就已就绪 因此, 反馈就绪时即可从 ADC 读取反馈 ADC 转换时间是已知的, 故在 ADC 触发后 1 µs 设置中断, 确认电流采样已经完成 在此时间点产生中断的简单办法是使用 PWM A 中的一个比较中断, 本例使用子模块 2 VAL4 比较中断 VAL4 值在子模块 0 VAL4 触发 1 µs 后设置为 4575 (0xEE21) 还必须使能比较中断, 在 IsrPWM 中断例程中与触发信号一起使能即可 同时还要配置中断控制器 示例代码如下 : /* Fast loop calculation */ PWMA_SM2VAL4 = 0xEE21; /* Interrupt for the SM2 CMP Level 1 */ INTC_IPR9 = INTC_IPR9_PWMA_CMP2_1; /* M1 fast loop calculation 1us after the ADC trigger 4 on SM2 */ PWMA_SM2INTEN = PWMA_SM2INTEN_CMPIE_4; Freescale Semiconductor, Inc. 15

16 读取 ADC 采样 电机 2 快速环 图 9. 读取 ADC 和执行控制算法 最后采样的量是电机 2 电流 因此, 利用 ADC 扫描结束中断来执行电机 2 快速环算法, 而且已经配置 ADC 来产生扫描结束中断 现在只需配置中断控制器 示例代码如下 : /* Interrupt ADC end of scan Level 1 */ INTC_IPR2 = INTC_IPR2_ADC_CC0_1; 电机 1 和电机 2 慢速环 只有一台电机时, 慢速环可以与快速环算法在同一中断中执行 但在本例中, 这会延长时间, 另一台电机的快速环计算会被推迟 为了避免冲突, 慢速环在另一个中断中计算 速度环控制所需的时间不像快速环算法那样长, 因此两台电机可以在同一中断中计算 此计算选择 PWM B 半周期 (VAL0) 时间 在此时间点上, 电机 1 电流偏移量已采样完毕, 可以进行滤波和更新, 为测量下一电流做准备 并且可以计算电机 1 慢速环 其他应用逻辑, 如状态机 温度检查 直流总线电压滤波等, 也可以在此时间点应用 包括中断进入的第一个区块至少应持续到读取电机 2 电流的时刻 如果短于此时间, 则会读取前一周期的电流偏移量, 这将导致中断无法使用 VAL0 比较事件源, 因此必须将中断推迟到稍后的时间点 在第二个区块中, 会读取 滤波并更新电机 2 电流偏移量以进行电流测量 然后会计算电机 2 慢速环 可以在此时间点应用其他逻辑, 例如电机 2 或整个应用的状态机 因此, 代码中必须使能比较中断, 在 IsrPWM 中断例程中与触发信号一起使能即可 同时还要配置中断控制器 示例代码如下 : /* M1 and M2 slow loop calculation on half cycle of PWM B */ PWMB_SM2INTEN = PWMB_SM2INTEN_CMPIE_0; /* Interrupt for the SM2 CMP Level 1*/ INTC_IPR7 = INTC_IPR7_PWMB_CMP2_1; 中断服务例程 16 Freescale Semiconductor, Inc.

17 中断已配置就绪, 现在必须写出中断服务例程 ISR 的名称为 IsrPWMAFastLoopCalc IsrADC12Result 和 IsrPWMBSlowLoopCalc 这些例程的原型必须在代码的原型部分创建 void IsrPWMAFastLoopCalc(void); void IsrADC12Result(void); void IsrPWMBSlowLoopCalc(void); 这些函数的名称必须复制到向量表中 ; 如果使用默认 CodeWarrior 10.2 项目模板, 则它位于文件 MC56F847xx_vector.asm 中 (Project_Settings\Startup_Code 目录下 ) 因此, 地址 0xA6 是 83 号中断, 对应 PWM A 子模块 2 比较 ; 地址 0x3C 是 30 号中断, 对应 ADC 结果 ; 地址 0x8C 是 70 号中断, 对应 PWM B 子模块 2 比较 代码包含以下语句 : JSR >FIsrPWMAFastLoopCalc JSR >FIsrADC12Result JSR >FIsrPWMBSlowLoopCalc 函数体本身包含用户控制算法 ( 包括 ADC 读取和更新以及 PWM 更新 ), 并且最后必须清除特定中断标志, 以防止再次进入该中断 函数体可以是如下形式 : #pragma interrupt saveall void IsrPWMAFastLoopCalc(void)... 完整代码 /* Clears compare flag */ PWMA_SM2STS = PWMA_SM2STS_CMPF_4; #pragma interrupt saveall void IsrADC12Result(void)... /* Clears the interrupt flag */ ADC12_STAT = ADC12_STAT_EOSI0 ADC12_STAT_EOSI1; #pragma interrupt saveall void IsrPWMBSlowLoopCalc(void)... /* Clears compare flag */ PWMB_SM2STS = PWMB_SM2STS_CMPF_0; 现在, 同步双电机 PMSM FOC 的 PWM 和 ADC 的所有必要步骤均已完成 11 完整代码 已配置并同步 PWM 模块, 并且已对触发和中断进行编程 ADC 及其中断均已配置完毕 模块已通过 crossbar 互连 本应用中用到的所有代码行如下所示 中断向量表 文件 MC56F847xx_vector.asm( 位于 Project_Settings\Startup_Code) Freescale Semiconductor, Inc. 17

18 完整代码 JSR >FIsrADC12Result ;/* 0x3c Interrupt no. 30 */ JSR >FIsrPWMBSlowLoopCalc ;/* 0x8c Interrupt no. 70 */ JSR >FIsrPWM ;/* 0x98 Interrupt no. 76 */ JSR >FIsrPWMAFastLoopCalc ;/* 0xa6 Interrupt no. 83 */ JSR >FIsrPWMSync ;/* 0xaa Interrupt no. 85 */ 原型 static void GPIOA_Init(void); static void GPIOB_Init(void); static void GPIOE_Init(void); static void GPIOG_Init(void); static void XBAR_Init(void); static void PWMA_SM012_Init(void); static void PWMB_SM012_Init(void); static void PWMA_SM012_Run(void); static void ADC12_Init(void); void IsrPWMSync(void); void IsrPWM(void); void IsrPWMAFastLoopCalc(void); void IsrADC12Result(void); void IsrPWMBSlowLoopCalc(void); 函数 static void GPIOA_Init(void) /* Enable GPIOA clock */ SIM_PCE0 = SIM_PCE0_GPIOA; /* ADCA */ GPIOA_PER = (GPIOA_PER_PE_0 GPIOA_PER_PE_1 GPIOA_PER_PE_2 GPIOA_PER_PE_3 GPIOA_PER_PE_4 GPIOA_PER_PE_5 GPIOA_PER_PE_6 GPIOA_PER_PE_7); SIM_GPSAL &= ~(SIM_GPSAL_A0); static void GPIOB_Init(void) /* Enable GPIOB clock */ SIM_PCE0 = SIM_PCE0_GPIOB; /* ADCB */ GPIOB_PER = (GPIOB_PER_PE_0 GPIOB_PER_PE_1 GPIOB_PER_PE_2 GPIOB_PER_PE_3 GPIOB_PER_PE_4 GPIOB_PER_PE_5 GPIOB_PER_PE_6 GPIOB_PER_PE_7); static void GPIOE_Init(void) /* Enable GPIOE clock */ SIM_PCE0 = SIM_PCE0_GPIOE; /* PWMA PWMB */ GPIOE_PER = (GPIOE_PER_PE_0 GPIOE_PER_PE_1 GPIOE_PER_PE_2 GPIOE_PER_PE_3 GPIOE_PER_PE_4 GPIOE_PER_PE_5 GPIOE_PER_PE_8 GPIOE_PER_PE_9); SIM_GPSEL &= ~(SIM_GPSEL_E4 SIM_GPSEL_E5); SIM_GPSEH &= ~(SIM_GPSEH_E8 SIM_GPSEH_E9); static void GPIOG_Init(void) /* Enable GPIOG clock */ 18 Freescale Semiconductor, Inc.

19 完整代码 SIM_PCE0 = SIM_PCE0_GPIOG; /* PWM B */ GPIOG_PER = (GPIOG_PER_PE_0 GPIOG_PER_PE_1 GPIOG_PER_PE_2 GPIOG_PER_PE_3); SIM_GPSGL &= ~(SIM_GPSGL_G0 SIM_GPSGL_G1 SIM_GPSGL_G2 SIM_GPSGL_G3); static void XBAR_Init(void) /* PWM A & B trigger to XBAR B */ XBARB_SEL0 = 8 (22 << 8); /* AOI out = A B, i.e. PWM A trigger or PWM B trigger */ AOI_BFCRT010 = AOI_BFCRT010_PT0_AC_0 AOI_BFCRT010_PT0_BC AOI_BFCRT010_PT0_CC AOI_BFCRT010_PT0_DC AOI_BFCRT010_PT1_AC AOI_BFCRT010_PT1_BC_0 AOI_BFCRT010_PT1_CC AOI_BFCRT010_PT1_DC; AOI_BFCRT230 = 0; /* ADC A trigger from AOI */ XBARA_SEL6 = 46; static void PWMA_SM012_Init(void) /* Enable clock for PWM SM 0-2 */ SIM_PCE3 = (SIM_PCE3_PWMACH0 SIM_PCE3_PWMACH1 SIM_PCE3_PWMACH2); /* Half cycle reload */ PWMA_SM0CTRL = PWMA_SM0CTRL_HALF; PWMA_SM1CTRL = PWMA_SM1CTRL_HALF; PWMA_SM2CTRL = PWMA_SM2CTRL_HALF; /* PWM setup for 3 phases */ PWMA_SM0CTRL2 = 0xC080; PWMA_SM1CTRL2 = 0xC20E; PWMA_SM2CTRL2 = 0xC20E; /* setup for pwm frequency of 10KHz */ PWMA_SM0INIT = 0xEC78; PWMA_SM1INIT = 0xEC78; PWMA_SM2INIT = 0xEC78; /* setup for pwm frequency of 10KHz */ PWMA_SM0VAL1 = 0x1387; PWMA_SM1VAL1 = 0x1387; PWMA_SM2VAL1 = 0x1387; PWMA_SM0VAL0 = 0x0000; PWMA_SM1VAL0 = 0x0000; PWMA_SM2VAL0 = 0x0000; PWMA_SM0VAL2 = 0xF63C; PWMA_SM1VAL2 = 0xF63C; PWMA_SM2VAL2 = 0xF63C; PWMA_SM0VAL3 = 0x09C3; PWMA_SM1VAL3 = 0x09C3; PWMA_SM2VAL3 = 0x09C3; PWMA_SM0VAL4 = 0xEDBD; /* Current measurement value */ PWMA_SM1VAL4 = 0x0000; PWMA_SM2VAL4 = 0xEE21; /* Fast loop calculation */ PWMA_SM0VAL5 = 0x0145; /* Offset measurement value */ PWMA_SM1VAL5 = 0xF63C; /* Sync for the other motor's PWM */ PWMA_SM2VAL5 = 0x0000; /* deadtime count register 0 and 1 = 2.0 us */ Freescale Semiconductor, Inc. 19

20 完整代码 PWMA_SM0DTCNT0 = 0x00C8; PWMA_SM1DTCNT0 = 0x00C8; PWMA_SM2DTCNT0 = 0x00C8; PWMA_SM0DTCNT1 = 0x00C8; PWMA_SM1DTCNT1 = 0x00C8; PWMA_SM2DTCNT1 = 0x00C8; /* Fault A 0-3 inactive */ PWMA_SM0DISMAP0 = 0; PWMA_SM1DISMAP0 = 0; PWMA_SM2DISMAP0 = 0; /* Fault A 4-7 inactive */ PWMA_SM0DISMAP1 = 0; PWMA_SM1DISMAP1 = 0; PWMA_SM2DISMAP1 = 0; /* Compare interrupt of Value 5, used to sync PWM A and PWM B */ PWMA_SM1INTEN = PWMA_SM1INTEN_CMPIE_5; /* Interrupt for the SM1 CMP L2 and SM2 CMP L1 */ INTC_IPR9 = INTC_IPR9_PWMA_CMP1 INTC_IPR9_PWMA_CMP2_1; /* Enables PWM output */ PWMA_OUTEN = (PWMA_OUTEN_PWMA_EN_0 PWMA_OUTEN_PWMB_EN_0 PWMA_OUTEN_PWMA_EN_1 PWMA_OUTEN_PWMB_EN_1 PWMA_OUTEN_PWMA_EN_2 PWMA_OUTEN_PWMB_EN_2); /* Clear LDOK bit */ PWMA_MCTRL = PWMA_MCTRL_CLDOK_0 PWMA_MCTRL_CLDOK_1 PWMA_MCTRL_CLDOK_2; /* LDOK */ PWMA_MCTRL = PWMA_MCTRL_LDOK_0 PWMA_MCTRL_LDOK_1 PWMA_MCTRL_LDOK_2; static void PWMB_SM012_Init(void) /* Enable clock for PWM SM 0-3 */ SIM_PCE3 = (SIM_PCE3_PWMBCH0 SIM_PCE3_PWMBCH1 SIM_PCE3_PWMBCH2); /* Half cycle reload */ PWMB_SM0CTRL = PWMB_SM0CTRL_HALF; PWMB_SM1CTRL = PWMB_SM1CTRL_HALF; PWMB_SM2CTRL = PWMB_SM2CTRL_HALF; /* PWM setup for 3 phases, uses only SM 1-2 */ PWMB_SM0CTRL2 = 0xC080; PWMB_SM1CTRL2 = 0xC20E; PWMB_SM2CTRL2 = 0xC20E; /* setup for pwm frequency of 10KHz */ PWMB_SM0INIT = 0xEC78; PWMB_SM1INIT = 0xEC78; PWMB_SM2INIT = 0xEC78; /* setup for pwm frequency of 10KHz */ PWMB_SM0VAL1 = 0x1387; PWMB_SM1VAL1 = 0x1387; PWMB_SM2VAL1 = 0x1387; PWMB_SM0VAL0 = 0x0000; PWMB_SM1VAL0 = 0x0000; PWMB_SM2VAL0 = 0x0000; PWMB_SM0VAL2 = 0xF63C; PWMB_SM1VAL2 = 0xF63C; PWMB_SM2VAL2 = 0xF63C; PWMB_SM0VAL3 = 0x09C3; PWMB_SM1VAL3 = 0x09C3; PWMB_SM2VAL3 = 0x09C3; 20 Freescale Semiconductor, Inc.

21 完整代码 PWMB_SM0VAL4 = 0xEDBD; /* Current measurement value */ PWMB_SM1VAL4 = 0x0000; PWMB_SM2VAL4 = 0x0000; PWMB_SM0VAL5 = 0x0145; /* Offset measurement value */ PWMB_SM1VAL5 = 0x0000; PWMB_SM2VAL5 = 0x0000; /* deadtime count register 0 and 1 = 2.0 us */ PWMB_SM0DTCNT0 = 0x00C8; PWMB_SM1DTCNT0 = 0x00C8; PWMB_SM2DTCNT0 = 0x00C8; PWMB_SM0DTCNT1 = 0x00C8; PWMB_SM1DTCNT1 = 0x00C8; PWMB_SM2DTCNT1 = 0x00C8; /* Fault B 0 3 inactive */ PWMB_SM0DISMAP0 = 0; PWMB_SM1DISMAP0 = 0; PWMB_SM2DISMAP0 = 0; /* Fault B 4-7 inactive */ PWMB_SM0DISMAP1 = 0; PWMB_SM1DISMAP1 = 0; PWMB_SM2DISMAP1 = 0; /* Compare interrupt of Value 4, enable triggers from PWM A and B to ADC */ PWMB_SM0INTEN = PWMB_SM0INTEN_CMPIE_4; /* Interrupt for the SM0 CMP L2 */ INTC_IPR8 = INTC_IPR8_PWMB_CMP0; /* Interrupt for the SM2 CMP L1*/ INTC_IPR7 = INTC_IPR7_PWMB_CMP2_1; /* Clear LDOK bit */ PWMB_MCTRL = PWMB_MCTRL_CLDOK_0 PWMB_MCTRL_CLDOK_1 PWMB_MCTRL_CLDOK_2; /* LDOK */ PWMB_MCTRL = PWMB_MCTRL_LDOK_0 PWMB_MCTRL_LDOK_1 PWMB_MCTRL_LDOK_2; /* Enables PWM output */ PWMB_OUTEN = (PWMB_OUTEN_PWMA_EN_3 PWMB_OUTEN_PWMB_EN_3 PWMB_OUTEN_PWMA_EN_1 PWMB_OUTEN_PWMB_EN_1 PWMB_OUTEN_PWMA_EN_2 PWMB_OUTEN_PWMB_EN_2); static void PWMA_SM012_Run(void) /* Enable clock */ PWMA_MCTRL = PWMA_MCTRL_RUN_0 PWMA_MCTRL_RUN_1 PWMA_MCTRL_RUN_2; static void ADC12_Init(void) /* enable clock to ADC modules */ SIM_PCE2 = SIM_PCE2_CYCADC; /* SMODE - triggered parallel, SYNC0 - enabled, End of scan interrupt */ ADC12_CTRL1 = 0x1805; /* Simultaneous parallel mode; DIV0 = 5, 20MHz */ ADC12_CTRL2 = ADC12_CTRL2_DIV0_2 ADC12_CTRL2_SIMULT; /* Channel assignment */ /* S0 S1 S2 S3 S4 S5 S6 S7 */ /* A0 A0 A2 A0 A2 A0 A0 A0 */ ADC12_CLIST1 = 0x0200; Freescale Semiconductor, Inc. 21

22 完整代码 ADC12_CLIST2 = 0x0002; /* S8 S9 S10 S11 S12 S13 S14 S15 */ /* B0 B4 B2 B0 B2 B0 B0 B0 */ ADC12_CLIST3 = 0x8AC8; ADC12_CLIST4 = 0x888A; /* Sample count 10 channels */ ADC12_SDIS = 0xE0E0; /* Sync at */ /* S0 S1 S2 S3 S4 S5 S6 S7 */ /* x x x x */ ADC12_SCTRL = 0x010D; /* power-up delay set to 26 clocks */ ADC12_PWR = 0x01A0; /* ADCA Speed <=20MHz; ADCB Speed<=20MHz; DIV1 = 5, 20 MHz */ ADC12_PWR2= ADC12_PWR2_SPEEDA ADC12_PWR2_SPEEDB ADC12_PWR2_DIV1_2; /* Interrupt end of scan L1 */ INTC_IPR2 = INTC_IPR2_ADC_CC0_1; #pragma interrupt alignsp void IsrPWMSync(void) if ((PWMA_SM1STS & PWMA_SM1STS_CMPF_5) > 0 ) /* Starts PWM B */ PWMB_MCTRL = PWMB_MCTRL_RUN_0 PWMB_MCTRL_RUN_1 PWMB_MCTRL_RUN_2 PWMB_MCTRL_RUN_3; /* Enable clock */ /* Disable PWM SM1 CMP interrupt from 5 */ PWMA_SM1INTEN &= ~PWMA_SM1INTEN_CMPIE_5; /* Enables the PWM SM1 CMP interrupt from 4 */ PWMA_SM1INTEN = PWMA_SM1INTEN_CMPIE_4; /* Clears compare flag */ PWMA_SM1STS = PWMA_SM1STS_CMPF_5; #pragma interrupt alignsp void IsrPWM(void) /* Disable PWM SM0 CMP interrupt */ PWMA_SM0INTEN &= ~PWMA_SM0INTEN_CMPIE_4; PWMB_SM0INTEN &= ~PWMB_SM0INTEN_CMPIE_4; /* Enable triggers on VAL4 and VAL5 */ PWMA_SM0TCTRL = PWMA_SM0TCTRL_OUT_TRIG_EN_4 PWMA_SM0TCTRL_OUT_TRIG_EN_5; PWMB_SM0TCTRL = PWMB_SM0TCTRL_OUT_TRIG_EN_4 PWMB_SM0TCTRL_OUT_TRIG_EN_5; /* M1 fast loop calculation 1us after the ADC trigger 4 on SM2 */ PWMA_SM2INTEN = PWMA_SM2INTEN_CMPIE_4; /* M1 and M2 slow loop calculation on half cycle of PWM B */ PWMB_SM2INTEN = PWMB_SM2INTEN_CMPIE_0; /* Disables the interrupt in the INTC */ INTC_IPR8 &= ~INTC_IPR8_PWMB_CMP0; /* Clears compare flag */ PWMB_SM0STS = PWMB_SM0STS_CMPF_4; #pragma interrupt saveall 22 Freescale Semiconductor, Inc.

23 定义和首字母缩略词 void IsrPWMAFastLoopCalc(void)... /* Clears compare flag */ PWMA_SM2STS = PWMA_SM2STS_CMPF_4; #pragma interrupt saveall void IsrADC12Result(void)... /* Clears the interrupt flag */ ADC12_STAT = ADC12_STAT_EOSI0 ADC12_STAT_EOSI1; #pragma interrupt saveall void IsrPWMBSlowLoopCalc(void)... /* Clears compare flag */ PWMB_SM2STS = PWMB_SM2STS_CMPF_0; 函数调用顺序 应用初始化要求按如下顺序调用函数 : GPIOA_Init(); GPIOB_Init(); GPIOE_Init(); GPIOG_Init(); XBAR_Init(); PWMA_SM012_Init(); PWMB_SM012_Init(); PWMA_SM012_Run(); 12 定义和首字母缩略词 GPIO ADC XBAR PWM ISR AOI SIM CW FSLESL GFLIB MCLIB GDFLIB ACLIB DSC 通用端口输入输出模数转换器 crossbar 脉宽调制中断服务例程与 / 或 / 非模块系统集成模块 CodeWarrior 飞思卡尔嵌入式软件库, 该软件工具可从 freescale.com 下载通用函数库电机控制库通用数字滤波器库高级控制库数字信号控制器 下一页继续介绍此表... Freescale Semiconductor, Inc. 23

24 定义和首字母缩略词 FOC PMSM 电机控制 磁场定向控制 永磁同步电机 在本应用笔记中, 电机控制是指控制 BLDC PMSM 交流感应电机或其他电机的过程 24 Freescale Semiconductor, Inc.

25 How to Reach Us: Home Page: freescale.com Web Support: freescale.com/support 本文档中的信息仅供系统和软件实施方使用 Freescale 产品 本文并未明示或者暗示授予利用本文档信息进行设计或者加工集成电路的版权许可 Freescale 保留对此处任何产品进行更改的权利, 恕不另行通知 Freescale 对其产品在任何特定用途方面的适用性不做任何担保 表示或保证, 也不承担因为应用程序或者使用产品或电路所产生的任何责任, 明确拒绝承担包括但不局限于后果性的或附带性的损害在内的所有责任 Freescale 的数据表和 / 或规格中所提供的 典型 参数在不同应用中可能并且确实不同, 实际性能会随时间而有所变化 所有运行参数, 包括 经典值 在内, 必须经由客户的技术专家对每个客户的应用程序进行验证 Freescale 未转让与其专利权及其他权利相关的许可 Freescale 销售产品时遵循以下网址中包含的标准销售条款和条件 :freescale.com/ SalesTermsandConditions. Freescale, the Freescale logo, and Kinetis, are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. All other product or service names are the property of their respective owners Freescale Semiconductor, Inc 飞思卡尔半导体有限公司 Document Number AN4608 Revision 0, 10/2012

Freescale Semiconductor, Inc. Document Number: AN4872 应用笔记 Rev. 0, 03/2014 使用 KE06 上的四线式 I 2 C 接口 作者 :Ben Wang 1 简介 本应用笔记将描述使用 Kinetis E 系列 KE06 上的四线式

Freescale Semiconductor, Inc. Document Number: AN4872 应用笔记 Rev. 0, 03/2014 使用 KE06 上的四线式 I 2 C 接口 作者 :Ben Wang 1 简介 本应用笔记将描述使用 Kinetis E 系列 KE06 上的四线式 Freescale Semiconductor, Inc. Document Number: AN4872 应用笔记 Rev. 0, 03/2014 使用 KE06 上的四线式 I 2 C 接口 作者 :Ben Wang 1 简介 本应用笔记将描述使用 Kinetis E 系列 KE06 上的四线式 I 2 C 接口的流程 本应用笔记中提供的示例代码在 KE06 上经过测试, 测试方法为在两个评估板之间进行

More information

在MC56F82xx和MC56F84xx系列数字信号控制器中使用eFlexPWM模块进行ADC同步

在MC56F82xx和MC56F84xx系列数字信号控制器中使用eFlexPWM模块进行ADC同步 Freescale Semiconductor Document Number: AN4675 应用说明 Rev 0, 01/2013 在 MC56F82xx 和 MC56F84xx 系列数字信号控制器中使用 eflexpwm 模块进行 ADC 同步 作者 : Pavel Grasblum 1 简介 PWM 模块与模数转换器 (ADC) 之间的同步对于电机控制和电源转换应用而言是关键要求之一 这些应用利用脉宽调制

More information

在Kinetis上使用DMA进行脉冲计数

在Kinetis上使用DMA进行脉冲计数 Freescale Semiconductor Document Number: AN5083 应用笔记 Rev 0, 01/2015 在 Kinetis 上使用 DMA 进行脉冲计数 1 简介 本应用笔记阐述了如何使用 DMA 和通用 IO 模块进行脉冲计数 许多 Kinetis 系列微控制器具有低功耗定时器 (LPT) 和 FlexTimer, 可用于脉冲输入捕捉 然而, 当应用程序需要对多个脉冲输入进行计数时,

More information

电机控制方案的发展趋势lastpart.pptx

电机控制方案的发展趋势lastpart.pptx MC56F82xx 飞思卡尔创新的 DSC 产品 叶万富 应用工程师 1 MC56F82xx DSC 产品系列 精准 快速 强劲新推出的 MC56F82xx 数字信号控制器 (DSC) 能为更平稳 更优良的电源和电机控制应用提供经济有效的解决方案 增强的高精度 PWM 模块 520ps 分辨率 ( 占空比和周期 ) 达到 The 8 个支持中心对齐 explanation, that 边沿对齐和非对称

More information

KS22 LIN Demo 用户手册

KS22 LIN Demo 用户手册 Freescale Semiconductor, Inc. Document Number: KS22LINDEMOUG 用户手册 Rev. 0, 12/2015 KS22 LIN Demo 用户手册 1. 简介 本文档介绍了 LIN 在 MAPS-KS22F256 上的演示程序 从本文档中, 你可以了解到搭建 LIN 的演示环境所需要的板极设置, 板极连接, 引脚设置,LIN 演示过程, 以及软件包编译,

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

i.MX 6SoloX产品使用寿命估算

i.MX 6SoloX产品使用寿命估算 Freescale Semiconductor Document Number: AN5062 应用笔记 Rev 0, 02/2015 i.mx 6SoloX 产品使用寿命估算 1 概述 本文档说明了基于质量标准流程中使用的准则对 i.mx 6SoloX 应用处理器进行产品寿命估算 文中所述的产品寿命为估算值, 不代表特定产品的保证使用寿命 2 简介 i.mx 6 系列包含大量的处理器, 提供广泛的

More information

STM32 for sensorless vector control

STM32 for sensorless vector control STM32 PMSM FOC Shanghai, March,2008 Agenda STM32 FOC Clark Parke Circle limitation Mar 08 1 Agenda / Hall PMSM PLL MC_State_observer_param.h Mar 08 2 Agenda MC_Control_param.h / PI Mar 08 3 Plan STM32

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

Microsoft Word - T12_T13_AD_PECÀý³Ì½éÉÜ.doc

Microsoft Word - T12_T13_AD_PECÀý³Ì½éÉÜ.doc 样例程序 : T12 + T13 + AD + PEC 1. 简介本程序实现功能如下 : 配置 CCU6 工作于三相输出模式 使用通道 0 比较匹配中断触发 T13 内部硬件直接实现 T13 工作于 Single shot 模式, 当周期中断时, 硬件触发 ADC (Injection mode) 转换完成以后, 使用 PEC 将存放于 ADC_DAT2 中的转换结果传送到用户指定的数组中 PEC

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

2

2 第六章.DC-DC 升压模块 1 2 3 4 5 程序通过 PB7 口产生 PWM 波控制 tps61165 工作, 完成 LED 串点亮以及电流控制, 可通过 LCD 开发板上的滚轮调节 PWM 波的占空比进而实现电流的调节 ; 通过 PE2 的 ADC 功能读取电压测量电路中的 OPA330 的输出电压, 并根据电路原理图计算出测量点的电压值, 显示在 LCD 上 ; 通过 PE3 的 ADC

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 22 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明, 联系富士通销售代表或富士通授权分销商

More information

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc 可程序计数数组 (PCA) 功能使用方法 1 适用产品 :SM59D04G2,SM59D03G2 2 应用说明 : PCA 共有五组, 每组皆可工作于以下七种模式 : 捕获模式 - 正缘捕获模式 (Positive edge capture mode) 捕获模式 - 负缘捕获模式 (Negative edge capture mode) 捕获模式 - 正缘及负缘捕获模式 (Both positive

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

1500XA Daniel Danalyzer 1500XA Rosemount Analytical 1500XA P/N 3-9000-757 A 2010 5 ii 1500XA 1500XA iii iv 1500XA : 1-2 1500XA - 1500XA 1-3 1-4 1500XA 1500XA 1-5 1-6 1500XA 1500XA 1-7 1-8 1500XA

More information

Microsoft Word - mcu-an z-11.doc

Microsoft Word - mcu-an z-11.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-11 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 外部中断 V1.1 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 2008-07-18 Levi.Zhang V1.1, 在第 6 章更多信息中添加 URL; 更新了一些样本程式

More information

使用FlexIO模块模拟I2S总线主机

使用FlexIO模块模拟I2S总线主机 Freescale Semiconductor Document Number: AN4955 应用笔记 Rev 1, 04/2015 使用 FlexIO 模块模拟 I2S 总线主机 作者 : Michael Galda 1 概述 本应用笔记介绍了 FlexIO 外设模块的一种典型用例, 该模块最初是在 Freescale Kinetis KL43 MCU 系列 (ARM CM0+ MCU) 中作为

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

Andes Technology PPT Temp

Andes Technology PPT Temp 晶心科技線上技術研討會 AndesCore 便捷的全 C 嵌入式编程 晶心科技市場及技術服務部毛礼杰軟件經理 WWW.ANDESTECH.COM 大纲 系统初始化介绍 异常和中断说明 全 C 语法例子说明 总结 2 CPU 相关特性 1: 中断向量表 系统初始化 (1) 2: 系统寄存器 通常需要用 assembly( 汇编 / 组合 ) 语言来操作 AndesCore 全 C 嵌入式编程 C 扩展语法

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500004-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 8/16 位多功能定时器 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 40 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 /

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

本应用笔记的目的是在 MKV10x 器件上实现无传感器 BLDC 电机控制算法 本应用笔记使用 MKV10x 器件的外设模块, 提供 BLDC 电机控制设计的一个实例, 以便用户轻松了解 BLDC 的控制方法 该应用是裸机型 本应用笔记包括 MKV10x 器件的概述 系统设计概念和硬件实施 硬件基于

本应用笔记的目的是在 MKV10x 器件上实现无传感器 BLDC 电机控制算法 本应用笔记使用 MKV10x 器件的外设模块, 提供 BLDC 电机控制设计的一个实例, 以便用户轻松了解 BLDC 的控制方法 该应用是裸机型 本应用笔记包括 MKV10x 器件的概述 系统设计概念和硬件实施 硬件基于 Freescale Semiconductor, Inc. 应用笔记 文档编号 : AN4862 修订版 0,2014 年 2 月 利用 MKV10x 实现三相 BLDC 无传感器控制 作者 : Petr Staszko 1 简介 本应用笔记说明如何使用 Freescale 32 位 Kinetis MKV10x 器件实现无传感器三相无刷直流 (BLDC) 电机控制应用 ( 该应用的说明参见 DRM144:

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

775i65PE_BIOS_CN.p65

775i65PE_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 14:00:09] [Wed 10/20/2004] BIOS Version : 775i65PE BIOS P1.00 Processor Type : Intel (R) CPU 3.20 GHz Processor Speed : 3200

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

新世紀領導人才培育營-初階研習營檢討會議程表

新世紀領導人才培育營-初階研習營檢討會議程表 出 國 報 告 ( 出 國 類 別 : 交 流 參 訪 ) 赴 大 陸 武 漢 大 學 交 流 參 訪 出 國 報 告 書 服 務 機 關 : 國 立 中 央 大 學 地 球 科 學 院 姓 名 職 稱 : 朱 延 祥 院 長 派 赴 國 家 : 中 國 大 陸 出 國 期 間 : 民 國 104 年 5 月 2 日 到 5 月 8 日 報 告 日 期 : 民 國 104 年 5 月 14 日 i

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路 2015 年 容 器 检 验 员 取 证 邮 寄 证 书 查 询 邮 寄 日 期 2016.2.26 查 询 网 址 http://yjcx.chinapost.com.cn/zdxt/yjcx/ 省 份 姓 名 工 作 单 位 通 信 地 址 邮 政 编 码 挂 号 号 码 山 东 丁 宪 振 山 东 省 特 种 设 备 检 验 研 究 院 山 东 省 济 南 市 高 新 区 天 辰 大 街 939

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

STM32Cube_FW_F4?RTC??????BUG

STM32Cube_FW_F4?RTC??????BUG STM32Cube_FW_F4 中 RTC_Calendar 例程的 BUG 前言 实时时钟 (RTC) 是一个独立的 BCD 定时器 / 计数器, 用来提供准确的日历和时间信息 准确性是其重要的指标 问题 某客户在其产品的设计中, 使用了 STM32F429IIT6 客户在使用过程发现一个问题, 虽然已经有使用电池对 VBAT 进行供电, 但是在经常频繁的 VDD 上下电之后, 发现时钟会比准确的时间慢几秒钟

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

第10章:CCP捕捉/比较/脉宽调制

第10章:CCP捕捉/比较/脉宽调制 第 10 章 :CCP 捕捉 / 比较 / 脉宽调制 CCP 模块功能 捕捉功能模式 比较功能模式 脉宽调制功能 1 CCP 模块功能 PIC 2 捕捉 比较 脉宽调制模块 CCP1 CCP2(Capture/Compare/PWM) 16 CCPR1 CCPR2 模块 功能 功能 TMR1 TMR2 2 CCP 模块功能 CCP 模块 3 模式 : 捕捉 式 比较 式 脉宽调制 式 捕捉功能 捕捉

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

Microsoft PowerPoint - STU_EC_Ch07.ppt

Microsoft PowerPoint - STU_EC_Ch07.ppt 樹德科技大學資訊工程系 Chapter 7: Flip-Flops and Related Devices Shi-Huang Chen Fall 2010 1 Outline Latches Edge-Triggered Flip-Flops Master-Slave Flip-Flops Flip-Flop Operating Characteristics Flip-Flop Applications

More information

EEPROM Emulation Driver for the Kinetis E Series Microcontrollers Application Note

EEPROM Emulation Driver for the Kinetis E Series Microcontrollers Application Note Freescale Semiconductor 应用笔记 Document Number: AN4903 Rev. 0, 03/2014 面向 Kinetis E 系列微控制器的 EEPROM 仿真驱动器 作者 : 王鹏 可字节编程或字编程且可擦除的电可擦可编程只读存储器 (EEPROM) 在汽车电子控制单元 (ECU) 中经常使用 编程和擦除操作的灵活性使得它适合用于断电时必须保留的以及在运行时需要单独更新的应用变量的数据存储

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

第4章 系统设置

第4章  系统设置 POST 4.1 POST BIOS POST POST POST POST LOGO LOGO POST BIOS POST POST SCSI SCSI BIOS RAID POST RAID RAID RAID BIOS Operating System not Found BIOS T200 2002 BIOS 4.2 BIOS BIOS

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

版本说明书

版本说明书 i CHGM-36M * CHGM-36C * CHGM-130M CHGM-130C CHGM-120M * CHGM-120C * CHGC-120M CHGC-120C CHGC-300M CHGC-300C CHGM-530M-1 CHGM-530C-1 CHGM-600C CHGM-600M-1 * CHGM-600M CHGC-30M CHGC-30C CHGM-230 CHGM-230C

More information

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12 ZT210/ZT220/ZT230 快 速 参 考 指 南 本 指 南 用 于 指 导 您 执 行 打 印 机 的 日 常 操 作 有 关 详 细 信 息, 请 参 见 用 户 指 南 打 印 机 组 件 图 1 显 示 了 打 印 机 介 质 舱 内 的 组 件 根 据 打 印 机 型 号 和 已 安 装 选 件 的 不 同, 打 印 机 的 外 观 会 略 有 差 别 带 有 标 签 的 组 件

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

MODEL 5100B REVISION 03001 Ntron MODEL 5100B 1 3 4 4 1.1 5 1.2 6 1.3 7 1.3.1 7 1.3.2 7 1.3.3 7 1.3.4 7 1.3.5 7 1.3.6 7 1.3.7 8 1.3.8 8 5100 2.1 10 2.1.1 11 2.1.2 11 2.1.2.1 12 2.1.2.2 12 2.2 2.2.1 14 2.2.2

More information

基于中断和阻塞机制的MQX I2C驱动程序

基于中断和阻塞机制的MQX I2C驱动程序 Freescale Semiconductor Document Number: AN4652 应用笔记 Rev 0, 01/2013 基于中断和阻塞机制的 MQX I2C 驱动程序 作者 : 郭嘉 1 简介 本应用笔记介绍了基于中断和阻塞机制的 MQX 上的 I2C 驱动程序, 屏蔽了 I2C 模块工作的细节并大大简化了应用代码 它包括主机和从机模式 文中代码已在 K60N512-TWR 开发板上经过验证

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

Microsoft Word - SDL600-zh-CN_V1.5.doc

Microsoft Word - SDL600-zh-CN_V1.5.doc 用 户 指 南 声 级 计 SD 卡 实 时 数 据 记 录 仪 型 号 SDL600 A 简 介 恭 喜 您 选 购 Extech SDL600 型 声 级 计 该 仪 表 可 显 示 并 存 储 声 压 级 范 围 在 30 至 130 db 之 间 的 读 数 SDL600 型 声 级 计 符 合 ANSI 和 IEC 61672 2 级 标 准, 具 有 A 和 C 频 率 加 权 以 及

More information

+00DE _01EN.book

+00DE _01EN.book TCS-NET MODBUS TCS-NET Modbus TCB-IFMB640TLE 1...... 2 2 RS 485... 3 3... 3 4... 4 5... 4 6... 5 7... 6 8... 16 TCS-NET Modbus 1 http://www.modbus-ida.org/ >=3.5 8 8 N*8 ( N = 252.) 16 >= 3.5 Modbus-Master

More information

CD DX Onkyo CD CD Cs

CD DX Onkyo CD CD Cs CD DX-7355... 2... 13... 15 Onkyo CD CD... 26 Cs 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. S3125A 13. 14. 15. A. B. C. D. E. F. 16. 17. 18. 20cm 8" 10cm 4" 10cm 4" Cs-2 1. 2. Onkyo 3. 4. AC230V 50Hz AC120V

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

CA-C750К

CA-C750К 1 3 3 4 PC 4 USB 5 5 6 8 9 11 mediasync Manager?...13 mediasync Manager 15 25 38 39 41 41 DRM...44 Image Manager...44 47 49 49 50 50 51 51 51 52 / 52 A-B 53 MP3 53 /FM 54 FM 55 FM 55 BMP56 56 57 57 58

More information

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资 IBM 全 球 企 业 咨 询 服 务 部 IBM 商 业 价 值 研 究 院 案 例 研 究 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 中 国 五 矿 集 团 公 司 ( 以 下 简 称 五 矿 集 团 ) 人 力 资 源 系 统 就 像 一 座 虚 拟 的 人 力 资 源 大 厦, 它 帮 助 五 矿 集 团 创 建 了 一 套 人 力 资 源 的 信 息 标 准, 形 成 了 一

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

内容 XMC1300 介绍 --- 电机控制相关模块 BLDC APP 使用方法 --- BLDC 3 Hall APP Set date Copyright Infineon Technologies All rights reserved. Page 2

内容 XMC1300 介绍 --- 电机控制相关模块 BLDC APP 使用方法 --- BLDC 3 Hall APP Set date Copyright Infineon Technologies All rights reserved. Page 2 BLDC 控制 基于 XMC1300&APP 2014 英飞凌 XMC 微控制器巡回研讨会 内容 XMC1300 介绍 --- 电机控制相关模块 BLDC APP 使用方法 --- BLDC 3 Hall APP Set date Copyright Infineon Technologies 2011. All rights reserved. Page 2 XMC1000 系列结构介绍 Entry

More information

MUGI Ver Copyright c 2001, 2002 Hitachi, Ltd. All rights reserved. 1

MUGI Ver Copyright c 2001, 2002 Hitachi, Ltd. All rights reserved. 1 MUGI Ver. 1.3 2002 5 8 1 1 3 2 3 2.1 Panama... 4 2.2... 5 3 5 3.1... 5 3.2... 5 3.3... 6 3.3.1... 6 3.3.2... 6 3.3.3... 7 3.3.4... 7 4 8 4.1... 8 4.2... 8 4.3... 9 4.3.1... 9 4.3.2... 9 4.4... 9 4.4.1

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

CDMA扫频仪测试说明

CDMA扫频仪测试说明 PCTEL CDMA 扫 频 仪 测 试 指 导 书 珠 海 世 纪 鼎 利 通 信 科 技 股 份 有 限 公 司 Copyright Dingli Commnunications Inc.,All rights reserved 版 权 所 有, 侵 权 必 究 1 目 录 一 PCTEL CDMA 扫 频 仪 介 绍... 3 二 测 试 前 的 准 备 工 作 ( 扫 频 仪 硬 件 连 接

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們 NT-503 USB DAC/Network Player 關 於 此 機 器 的 網 路 功 能, 請 看 網 路 說 明 書 使 用 者 說 明 書 與 網 路 說 明 書 可 以 從 TEAC Global Site (http://www.teac-global.com/) 下 載 USB D/A 轉 換 器 / 網 路 播 放 機 使 用 說 明 書 欲 播 放 USB 快 閃 記 憶 體

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

ch08.PDF

ch08.PDF 8-1 CCNA 8.1 CLI 8.1.1 8-2 8-3 8.1.21600 2500 1600 2500 / IOS 8-4 8.2 8.2.1 A 5 IP CLI 1600 2500 8-5 8.1.2-15 Windows 9598NT 2000 HyperTerminal Hilgraeve Microsoft Cisco HyperTerminal Private Edition (PE)

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

AN4767.fm

AN4767.fm Freescale Semiconductor 应用说明 Document Number: AN4767 Rev. 0, 7/2013 Kinetis E 系列上的 UART Boot Loader 设计 通过 : Wang Peng 在一个特定的场合, 很多应用或产品都需要升级固件, 以修复 Bug 或提高性能 大多数人都不愿意使用专用的调试接口, 而是使用 UART USB I2C 等通信接口

More information

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM Oracle Solaris Studio 12.2 DLight 2010 9 2 2 3 DLight 3 3 6 13 CPU 16 18 21 I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AMP Apache MySQL

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

英飞凌风机控制方案介绍

英飞凌风机控制方案介绍 英飞凌风机控制方案介绍 英飞凌科技 ( 中国 ) 有限公司 工业和多元化市场 2011 马达控制及应用技术研讨会 Table of contents 英飞凌风机方案概述 BLDC 简易正弦波风机方案介绍 BLDC 无传感器 FOC 方案介绍 5/27/2011 Copyright Infineon Technologies 2009. All rights reserved. Page 2 Table

More information

FlexIO 外设模块的主要特性 2 FlexIO 外设模块的主要特性 FlexIO 是指灵活的输入和输出外设 具有多种功能的高度可配置模块 允许模拟标准通信接口 支持多种协议和外设, 包括 : UART I 2 C SPI I 2 S LCD RGB CMT( 载波调制发送器 ) PWM/ 波形生

FlexIO 外设模块的主要特性 2 FlexIO 外设模块的主要特性 FlexIO 是指灵活的输入和输出外设 具有多种功能的高度可配置模块 允许模拟标准通信接口 支持多种协议和外设, 包括 : UART I 2 C SPI I 2 S LCD RGB CMT( 载波调制发送器 ) PWM/ 波形生 Freescale Semiconductor, Inc. 应用笔记 Document Number: AN5034 Rev. 0, 01/2015 使用 FlexIO 模拟 UART 作者 :Pavel Krenek, 应用工程师 Freescale Roznov, 捷克共和国 1 简介 本应用笔记介绍如何使用通用外设模块 FlexIO 模拟 UART 总线 Freescale Kinetis KL43

More information

极客良品 -CC3200xx wifi 学习板 -PWM 实验 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有

极客良品 -CC3200xx wifi 学习板 -PWM 实验 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有定时器复用功能的管脚进入的外部事件进行计数或计时, 也可以在输出引脚上产生脉冲宽度调试 (PWM) 信号 每个 GPT 模块包含两个 16 位定时 / 计数器 (TimerA

More information

...1 What?...2 Why?...3 How? ( ) IEEE / 23

...1 What?...2 Why?...3 How? ( ) IEEE / 23 .... IEEE 1588 2010 7 8 ( ) IEEE 1588 2010 7 8 1 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 2 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 3 / 23 IEEE 1588 ( ) IEEE 1588 2010

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500077-Z-12 F²MC-8FX 家族 8 位微型控制器 MB95430 系列 16 位 FRT 和 OCU 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0 2010-03-12 Kevin. Lin 初稿 1.1 2010-04-12 Kevin. Lin 更新 1.2 2010-09-27 Kevin. Lin 更新源代码

More information

在Freescale MQX操作系统下进行电机控制

在Freescale MQX操作系统下进行电机控制 Freescale Semiconductor Document Number: AN4254 应用笔记 Rev 0, 5/2011 在 Freescale MQX 操作系统下进行电机控制 作者 : Libor Prokop 捷克共和国 Roznov 1 简介 本应用笔记介绍了在操作系统 (OS) 中进行电机控制所面临的挑战 还评估了可行的实现方案, 并为在飞思卡尔操作系统 MQX 中加入电机控制应用程序提供了指导

More information

SL2511 SR Plus 操作手冊_單面.doc

SL2511 SR Plus 操作手冊_單面.doc IEEE 802.11b SL-2511 SR Plus SENAO INTERNATIONAL CO., LTD www.senao.com - 1 - - 2 - .5 1-1...5 1-2...6 1-3...6 1-4...7.9 2-1...9 2-2 IE...11 SL-2511 SR Plus....13 3-1...13 3-2...14 3-3...15 3-4...16-3

More information