Intel Stratix 10嵌入式存储器用户指南

Size: px
Start display at page:

Download "Intel Stratix 10嵌入式存储器用户指南"

Transcription

1 针对 Intel Quartus Prime 设计套件的更新 :18.1 订阅 官网最新文档 :PDF HTML

2 内容 内容 1. Intel Stratix 10 嵌入式存储器概述 Intel Stratix 10 嵌入式存储器特性 Intel Stratix 10 嵌入式存储器性能 Intel Stratix 10 嵌入式存储器体系结构和特性 Intel Stratix 10 嵌入式存储器模块中的字节使能 (Byte Enable) 字节使能控制 数据字节输出 字节使能行为 地址时钟使能支持 异步清零和同步清零 存储模块错误纠正编码支持 奇偶校验位 ECC 奇偶校验位翻转 (ECC Parity Flip) ECC Read-During-Write 行为 纠错码真值表 Force-to-Zero 一致性读取存储器 (Coherent Read Memory) 转发逻辑 (Forwarding Logic) Intel Stratix 10 支持的嵌入式存储器 IP 内核 Intel Stratix 10 嵌入式存储器时钟模式 单一时钟模式 (Single Clock Mode) 读 / 写时钟模式 (Read/Write Clock Mode) 输入 / 输出时钟模式 (Input/Output Clock Mode) 时钟模式下的异步 / 同步清零 同步读 / 写中的输出读数据 时钟模式的独立时钟使能 Intel Stratix 10 嵌入式存储器配置 混合宽度端口配置 冻结逻辑 (Freeze logic) 真双端口双时钟仿真器 (True Dual Ports Dual Clock Emulator) 读和写地址寄存器的初始值 Intel Stratix 10 嵌入式存储器设计考量 考虑存储器模块选择 并行读取行为的考量 自定义 Read-During-Write 行为 Same-Port Read-During-Write 模式 Mixed-Port Read-During-Write 模式 考虑上电状态和存储器初始化 降低功耗 Intel Stratix 10 嵌入式存储器 IP 内核参考 片上存储器 RAM 和 ROM Intel FPGA IP core 手动更改参数设置

3 内容 RAM 和 ROM 参数设置 RAM: 1-PORT Intel FPGA IP 参数 RAM: 2-PORT Intel FPGA IP 参数 RAM: 4-PORT Intel FPGA IP 参数 ROM: 1-PORT Intel FPGA IP 参数 ROM: 2-PORT Intel FPGA IP 参数 RAM 和 ROM 接口信号 esram Intel FPGA IP esram 系统特性 esram Intel FPGA IP 参数 esram Intel FPGA IP 接口信号 esram Intel FPGA IP 仿真演练 esram 时序图 FIFO Intel FPGA IP FIFO Intel FPGA IP 参数 复位方案 (reset scheme) FIFO2 Intel FPGA IP 配置方法 Fmax 目标测量方法 性能考量 FIFO2 Intel FPGA IP 特性 FIFO2 Intel FPGA IP 参数 FIFO2 Intel FPGA IP 接口信号 复位和时钟方案 归档 的修订历史

4 1. Intel Stratix 10 嵌入式存储器概述 Intel Stratix 10 嵌入式存储器模块具有高度灵活性, 并提供最佳数量的各种尺寸的存储器阵列, 从而满足您的设计要求 相关链接 HyperFlex Core Architecture, Intel Stratix 10 Device Overview 提供关于 Hyper-Registers 和 HyperFlex 内核体系结构的详细信息 Hyper-Registers 是额外的寄存器, 存在于内核架构中每个互联布线段 (interconnect routing segment) 中, 包括连接到 memory logic array block (MLAB) and M20K block 输入和输出的布线段 1.1. Intel Stratix 10 嵌入式存储器特性 Intel Stratix 10 器件包括三种类型的存储器模块 : 嵌入式 SRAM (esram) 模块,M20K 模块和存储器逻辑阵列模块 (MLAB) Megabit (Mb) esram 模块 快速路径, 低延迟, 高带宽和很高的随机传输率 (RTR) 片上存储器模块 每个模块包含 8 个通道, 每个通道有 42 个组 (bank) 每个 bank 可配置成 2K 深和 72-bit 数据宽 仅支持具有每个通道的同时读写访问的简单双端口 RAM 20-kilobit (Kb) M20K 模块 专用存储器资源的模块 适用于较大的存储器阵列, 并提供大量的独立端口 640-bit MLABs 从复用逻辑阵列模块 (LABs) 配置的增强型存储器模块 适用于宽而浅的存储器阵列 对移位寄存器的实现进行了优化, 以用于数字信号处理 (DSP) 应用, 宽而浅的 FIFO 缓冲器和过滤延迟线 每个 MLAB 由十个自适应逻辑模块 (ALMs) 组成 在 Intel Stratix 10 器件中,MLAB 中的每个 ALM 可配置成十个 32 2 模块 在 Intel Stratix 10 器件中, 每个 MLAB 中有一个 简单双端口 SRAM 模块 Intel Stratix 10 嵌入式存储器模块支持以下操作模式 : Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2015 Registered

5 1. Intel Stratix 10 嵌入式存储器概述 单端口 (Single-port) 简单双端口 (Simple dual-port) 真双端口 (True dual-port) 简单四端口 (Simple quad-port) ROM 表 1. Intel Stratix 10 嵌入式存储器特性 此表汇总了 Intel Stratix 10 嵌入式存储器模块所支持的特性 特性 esram M20K MLAB 最大操作频率 750 MHz 1 GHz ( 简单双端口 RAM 模式 ) 600 MHz ( 真双端口和简单四端口 RAM 模式 ) 1 GHz RAM 总 bit 数 ( 包括奇偶校验位 ) Mb 20,480 bits 640 bits 字节使能 支持支持 地址时钟使能 支持 ( 仅在简单双端口 RAM 模式 下 ) 支持 简单双端口混合位宽支持 支持 FIFO 缓存混合位宽 支持 存储器初始化文件 (.mif) 支持支持 双时钟模式 支持 ( 仅在简单双端口 RAM 模式 下 ) 支持 完全同步存储器 支持支持 异步存储器 仅用于直通 (flow-through) 读存 储器操作 上电状态 输出端口清零 寄存的输出端口清零 未寄存的输出端口读存储器内容 异步 / 同步清零 输出寄存器和输出锁存器输出寄存器和输出锁存器 读 / 写操作触发时钟上升沿时钟上升沿时钟上升沿 Same-port read-during-write 输出端口设为 New Data 或者 Don't Care 输出端口设为 Don't Care Mixed-port read-during-write 写转发功能 (Write-forwarding feature) ON = New Data OFF = Old Data 简单双端口 RAM: 输出端口设置为 Old Data 或者 Don't Care 真双端口 RAM: 输出端口设置为 Don't Care 简单四端口 : 输出端口设置为 new_a_old_b 输出端口设为 New Data,Old Data 或者 Don't Care 纠错码 (ECC) 支持 使用 Intel Quartus Prime 软件的 Soft IP 内置支持 x64-wide 简单双端口模式 使用 Intel Quartus Prime 软件的软核 IP 硬核 IP 内置支持 x32-wide 简单双端口模式 奇偶校验位 使用 Intel Quartus Prime 软件的软核 IP 继续... 5

6 1. Intel Stratix 10 嵌入式存储器概述 特性 esram M20K MLAB Force-to-Zero 支持 一致性读取存储器 (Coherent Read Memory) 支持 冻结逻辑 (Freeze logic) 支持 真双端口 (TDP) 双时钟仿真器 支持 1.2. Intel Stratix 10 嵌入式存储器性能 表 2. Intel Stratix 10 器件中的嵌入式存储器性能和分布 此表列出了 Intel Stratix 10 GX Intel Stratix 10 MX Intel Stratix 10 SX 和 Intel Stratix 10 TX 系列的嵌入式存储器容量 产品 产品系列 esram M20K MLAB 总 RAM Bit(Kb) Block RAM Bit (Mbits) Block RAM Bit (Mbits) Block RAM Bit (Mbits) Intel Stratix 10 GX GX 400 1, , GX 650 2, , GX 850 3, , GX , , GX , , GX , , GX , , GX , , GX , , GX , , Intel Stratix 10 MX Intel Stratix 10 SX MX , , MX , , SX 400 1, , SX 650 2, , SX 850 3, , SX , , SX , , SX , , SX , , SX , , SX , , SX , , Intel Stratix 10 TX TX 400 1, , TX 650 2, , 继续... 6

7 1. Intel Stratix 10 嵌入式存储器概述 产品 产品系列 esram M20K MLAB 总 RAM Bit(Kb) Block RAM Bit (Mbits) Block RAM Bit (Mbits) Block RAM Bit (Mbits) TX 800 3, , TX , , TX , , TX , , TX , , TX , ,

8 2. Intel Stratix 10 嵌入式存储器体系结构和特性 Intel Stratix 10 嵌入式存储器特性包括操作模式, 时钟模式和配置 2.1. Intel Stratix 10 嵌入式存储器模块中的字节使能 (Byte Enable) 字节使能控制 Intel Stratix 10 嵌入式存储器模块支持字节使能控制 字节使能通过屏蔽部分输入数据, 实现仅写入数据中的指定字节 未被写入的字节保留之前写入的值 写使能 (wren) 信号与字节使能 (byteena) 信号一起控制嵌入式存储器模块上的写操作 默认情况下,byteena 信号是高电平 ( 使能 ), 仅使用 wren 信号控制写操作 字节使能寄存器没有 clear 端口 字节使能运行在单状态 (one-hot fashion) byteena 信号的 LSB 对应于数据总线的 LSB 字节使能信号为高电平有效 (active high) 表 数据位宽 (MLAB) 的字节使能控制 byteena[1:0] 写入的数据位 11 ( 默认 ) [9:5] [4:0] 10 [9:5] 01 [4:0] 00 表 数据位宽 (M20K) 的字节使能控制 byteena[1:0] 写入的数据位 11( 默认 ) [19:10] [9:0] 10 [19:10] 01 [9:0] 00 表 数据位宽 (M20K) 的字节使能控制 byteena[3:0] 写入的数据位 1111( 默认 ) [39:30] [29:20] [19:10] [9:0] 1000 [39:30] 继续... Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2015 Registered

9 2. Intel Stratix 10 嵌入式存储器体系结构和特性 byteena[3:0] 写入的数据位 0100 [29:20] 0010 [19:10] 0001 [9:0] 数据字节输出 字节使能行为 在 M20K 模块或者 MLAB 中, 当在写周期中将字节使能比特置低为 0 时, 相应的数据字节输出值为 Don't Care 或者该位置的当前值 通过使用 Intel Quartus Prime 软件可以在 same-port read-during-write 模式下控制 M20K 模块或者 MLAB 中屏蔽字节的输出值 图 1. 字节使能功能波形 此图显示了 wren 和 byteena 信号是如何控制嵌入式存储器模块的操作 inclock wren address an a0 a1 a2 a3 a4 a0 data XXXXXXXX ABCDEF12 XXXXXXXX byteena XXXX XXXX contents at a0 FFFFFFFF ABFFFFFF contents at a1 contents at a2 FFFFFFFF FFFFFFFF FFCDFFFF FFFFEFFF contents at a3 contents at a4 FFFFFFFF FFFFFFFF FFFFFF12 ABCDEF12 don t care: q (asynch) doutn ABXXXXXX XXCDXXXX XXXXEFXX XXXXXX12 ABCDEF12 ABFFFFFF current data: q (asynch) doutn ABFFFFFF FFCDFFFF FFFFEFFF FFFFFF12 ABCDEF12 ABFFFFFF 2.2. 地址时钟使能支持 Intel Stratix 10 嵌入式存储器模块支持地址时钟使能 当使能地址时钟使能 (addressstall = 1), 它会保持之前的地址值 注意 : 只有简单双端口模式支持此功能 当在双端口模式下配置存储器模块时, 每个端口都有各自独立的地址时钟使能 9

10 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 2. 地址时钟使能 此图显示了地址时钟使能结构图 address[0] 1 0 address[0] register address[0] address[n] 1 0 address[n] register address[n] addressstall clock 图 3. 读周期中的地址时钟使能 此图显示了读周期中的地址时钟使能行为 inclock rdaddress rden a0 a1 a2 a3 a4 a5 a6 addressstall latched address (inside memory) q (synch) an a0 a1 a4 a5 doutn-1 doutn dout0 dout1 dout4 q (asynch) doutn dout0 dout1 dout4 dout5 10

11 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 4. 写周期中的地址时钟使能此图显示了写周期中的地址时钟使能行为 inclock wraddress a0 a1 a2 a3 a4 a5 a6 data wren addressstall latched address (inside memory) contents at a0 contents at a1 contents at a2 contents at a3 contents at a4 contents at a5 an a0 a1 a4 a5 XX XX XX XX XX XX 异步清零和同步清零 嵌入式存储器模块支持对输出锁存器和输出寄存器的异步清零和同步清零 如果 RAM 不使用输出寄存器, 那么将使用锁存异步清零 (aclr) 对 RAM 输出进行清零 此信号 (aclr) 是随时生成的 内部逻辑扩展清零脉冲直到输出时钟的下一个上升沿 当 aclr 信号置位时, 输出被清零, 并保持清零状态, 直到下一个读周期 对于同步清零 (sclr) 信号, 当 (sclr) 信号置位时,RAM 输出将在输出时钟的下一个上升沿清零 输出将保持清零, 直到下一个读周期 注意 : aclr 和 sclr 信号必须分别用于每个 RAM 配置 11

12 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 5. 寄存模式下的异步清零和同步清零的行为 Registered clk R1 R2 R3 R4 R5 R6 asynclr regdout RD1 RD2 0 RD4 RD5 synclr cleared by asynclr when asynclr signal asserts stay cleared until next read cycle regdout RD1 RD2 0 RD4 RD5 cleared by synclr at the next rising edge of clk when synclr signal asserts stay cleared until next read cycle 图 6. 未寄存模式下的异步清零和同步清零的行为 R1 R2 clk asynclr Unregistered R3 R4 R5 R6 unregdout RD1 RD2 RD3 0 RD5 RD6 synclr cleared by asynclr when asynclr signal asserts stay cleared until next read cycle unregdout RD1 RD2 RD3 0 RD5 RD6 cleared by synclr at the next rising edge of clk when synclr signal asserts stay cleared until next read cycle 2.4. 存储模块错误纠正编码支持 ECC 可以检测并纠正存储器输出上的数据错误 12

13 2. Intel Stratix 10 嵌入式存储器体系结构和特性 只有 M20K 模块和 esram 模块支持 ECC 功能 如果使用 ECC 功能, 那么以下功能将无法使用 : Byte enable Coherent read M20K 模块 对于 M20K 模块,ECC 在 32-bit 字中执行单纠错 (single-error correction), 双邻纠错 (doubleadjacent-error correction) 和三重相邻纠错 (triple-adjacent-error correction) 然而,ECC 不能保证非相邻两比特或更多错误的检测或纠正 当 M20K 模块处于 32 宽简单双端口模式中时, 它具有对 ECC 的内置支持 当使用 ECC 功能时,M20K 运行的要比非 ECC 简单双端口模式慢 然而, 通过一个周期的延迟为代价, 与非流水线 ECC 模式相比, 在输出解码器实现更高性能之前, 您可以使能可选的 ECC 流水线寄存器 两个 ECC 状态标志信号 e( 错误 ) 和 ue( 不可纠正的错误 ) 指示 M20K ECC 状态 状态标志是存储器模块的普通输出的一部分 使用 ECC 时不能访问奇偶校验位中的两位, 因为 ECC 状态标志会替换他们 esram 模块 奇偶校验位 对于 esram 模块,ECC 以 64-bit 字执行单纠错 (single-error correction) 和双错误检测 (double-error detection) esram 模块处于 64 宽简单双端口模式中时, 它具有对 ECC 的内置支持 两个 ECC 状态标志信号 c{7:0}_error_correct_0( 纠正的错误 ) 和 c{7:0}_error_detect_0( 检测的错误 ) 指示 esram ECC 状态 下面描述了 M20K 模块的奇偶校验位支持 : 8 个奇偶校验位是通过 ECC encoder 基于 32-bit 输入数据宽生成的, 总共产生高达 40 比特的数据宽 通过使用 ECC 奇偶校验翻转 (ECC parity flip) 功能可对奇偶校验位进行注入和翻转 ECC 奇偶校验位翻转 (ECC Parity Flip) ECC parity flip 功能用于动态地翻转在 M20K 模块的编码器中生成的奇偶校验位值, 通过仿真观察 ECC 行为 当 ECC Encoder Bypass (eccencbypass) 端口为高时, 内置 ECC 编码器值是通过奇偶校验端口与 8 个奇偶校验位进行异或 (XOR-ed) 后得到的, 生成一组新的解码器值 当 ECC Encoder Bypass 端口为低时, 解码器会根据写操作期间的数据输入来生成奇偶校验位 下表显示了一个为奇偶校验端口构建 8-bit 数据宽度的示例 13

14 2. Intel Stratix 10 嵌入式存储器体系结构和特性 表 6. 设置 8-Bit 奇偶校验端口的示例 奇偶校验位序列 ECC 特性 ECC Decoder 能够识别并纠正 数据位吗? Single-error correction Yes Double-adjacent-error correction Yes Triple-adjacent-error correction Yes Triple-adjacent-error correction Yes Non-adjacent double/triple correction/detection 无法保证 ECC Read-During-Write 行为 纠错码真值表 对于 M20K 模块, 您可以选择 Old Data 或者 Don't Care 输出模式 默认情况下, 混合端口 read-during-write 模式被设置成 Don't Care 当混合端口 read-during-write 被设置为 Don't Care 时,RAM 数据输出和 eccstatus 都将是 'X' 然而, 如果混合端口 read-during-write 模式被设置为 Old Data, 那么 RAM 数据输出将是旧数据,ECC 状态将是一个确定性值 表 7. M20K 的 ECC 状态标志真值表 Eccstatus[1]e Eccstatus[0]ue 状态 0 0 无错误 0 1 非法 / 无效 1 0 出现了一个可纠正错误, 此错误已经在输出 上纠正了 ; 然而, 还没有更新存储器阵列 1 1 出现一个不可纠正错误, 不可纠正数据出现 在输出上 图 7. M20K 存储器的 ECC 结构图 Status Flag Generation Input Register ECC Encoder 8 8 XOR Memory Array 40 Optional Pipeline 40 Register ECC Decoder (ecc_pipeline_stage_enabled == TRUE )? 1:0 32 Output Register ECC Parity Flip 8 14

15 2. Intel Stratix 10 嵌入式存储器体系结构和特性 表 8. esram 的 ECC 状态标志真值表 C{7:0}_error_detect_0 C{7:0}_error_correct_0 状态 0 0 无错误 0 1 非法 1 0 检测到一个错误, 但此错误是不可纠正的 不可纠正数据出现在输出上 1 1 检测到一个错误, 但此错误是可纠正的 此 错误已经在输出上纠正 纠正的数据出现在 输出上, 但没有更新存储器阵列 2.5. Force-to-Zero 当所选择的 RAM 存储器模块大于单个存储器模块时,Force-to-Zero 功能有助于改善时序 此功能仅适用于 M20K 模块 例如, 如果所选的 RAM 存储器模块的存储器深度为 4096, 那么 M20K 模块 ( 仅支持 2048 最大存储器深度 ) 将需要两个 RAM 模块多路复用在一起 当使用此功能时, 您可以在执行地址宽度合并时将 OR gate 替换成 M20K 模块输出上的多路复用电路 由于 MSB 地址控制 Force-to-Zero 模式下的读使能信号, 因此当读使能信号置低时其他存储器模块的输出被强制为零 这将导致输出数据仅从所选的存储器模块的输出读出 您可以在 RAM/ROM IP 内核的参数编辑器中开启 Enable Force-to-Zero feature 注意 : 当开启 Enable Force-to-Zero feature 时, 如果读使能信号被置低, 那么此信号不会保留之前的值 2.6. 一致性读取存储器 (Coherent Read Memory) 一致性存储器功能使您能够读出将在单个时钟周期内写入相同存储器内容的输出数据 换句话说, 在 read-during-write 操作期间, 您将体验到新数据 ( 直通 ) 行为 此功能仅适用于 M20K 模块, 并且仅在单时钟配置中支持 如果使用一致性读取存储器 (coherent read memory) 功能, 则不能使用以下配置 : 简单双端口以外的操作模式 具有不同端口宽度的简单双端口 字节使能 ECC 宽简单双端口 双时钟配置 15

16 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 8. 一致性读取存储器电路的简化结构图 M20K Block 1 0 C! = N 1 0 C && FWD2 1 0 Q output Memory C N writedata OutReg 1 0 wraddress wraddress_reg FWD2 wren wren_reg rdaddress rdaddress_reg rdren rden_reg 注释 : 1. N = (rden_reg && wren && (rdaddress_reg == wraddress))? 1 : C = (rden_reg && wren_reg && (rdaddress_reg == wraddress_reg))? 1 : FWD2 = (forwarding_stage2)? 1 : 0. 16

17 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 9. 未寄存输出的一致性读取存储器行为 此图显示了输出数据未寄存时的一致性读取存储器的波形 clk clk_enable rden wren aclr data rdaddress wraddress q (2) F287D 22D76 75EA6 B3D1B 7A81B 1EDE0 F6EDE 16AD6 1A4B4 4AAD4 (3) A (1) F287D 22D76 75EA6 22D EDE0 1A4B4 Notes: 1. Data (data) forwarded to output data (q) at the same clock cycle. 2. rden is low, q holds the forwarded value. 3. One clock cycle is needed to recover the q after clear (aclr) for the unregistered condition. 图 10. 寄存输出的一致性读取存储器行为 此图显示了输出数据寄存时的一致性读取存储器的波形 clk clk_enable rden wren aclr data rdaddress wraddress q F287D 22D76 75EA6 B3D1B 7A81B 1EDE0 F6EDE 16AD6 1A4B4 4AAD4 (3) (1) (2) A F287D 22D76 B3D1B XXXXX 1EDE0 Notes: 1. Data (data) forwarded to output data (q) for the next clock cycle. 2. data forwarded to q at the same clock cycle as current wraddress is the same as previous rdaddress. 3. When clear (aclr) is asserted, the M20K block clears q. 4. At this interval, q will latch from the M20K block, which is a Don t Care value, due to the read-during-write operation. (4) 转发逻辑 (Forwarding Logic) 在流水线中, 可以使用转发逻辑来执行数据转发以减少指令周期 17

18 2. Intel Stratix 10 嵌入式存储器体系结构和特性 通过使用一致性读取功能和转发逻辑, 您可以连贯地读出数据, 在数据内容之上执行操作 ( 算术或逻辑或两者 ), 并在单个时钟周期内将数据写回同一存储器位置 18

19 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 11. 包含简化的一致性读取存储器电路的转发逻辑实例 M20K Block 1 0 C! = N 1 0 C && FWD2 1 0 Q output (4) Memory C N writedata OutReg 1 0 wraddress (4) wraddress_reg FWD2 wren (4) wren_reg rdaddress rdaddress_reg rdren rden_reg Operand (4) 注释 : 1. N = (rden_reg && wren && (rdaddress_reg == wraddress))? 1 : C = (rden_reg && wren_reg && (rdaddress_reg == wraddress_reg))? 1 : FWD2 = (forwarding_stage2)? 1 : 添加的外部用户逻辑以实现数据转发 19

20 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 12. M20K 模块的输出未寄存时的流水线波形 此图显示了读使能信号 (rden) 为高电平时的流水线波形 rdaddress wraddress readdata A0 A1 A2 A2 A2 A3 A4 A4 A5 A6 An-2 An-1 A0 A1 A2 A2 A2 A3 A4 A4 K L M M+D2 M+D2+D3 N P P wren rden writedata K+D0 L+D1 M+D2 M+D2+D3 M+D2+D3 +D4 N+D5 P+D6 P+D7 Don t ca No Writ operation SRAM Content K+D0 L+D1 M+D2 M+D2+D3 M+D2+D3 +D4 No ChangeNo Change 注释 : 此图中显示的所有加号都是在数据上执行的实例运算 20

21 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 13. M20K 模块的输出寄存时的流水线波形 此图显示了写使能信号 (wren) 为高电平时的流水线波形 rdaddress wraddress readdata A0 A1 A2 A2 A2 A3 A4 A4 A5 A6 An-2 An-1 A0 A1 A2 A2 A2 A3 A4 A4 K L M M+D2 M+D2+D3 wren rden writedata SRAM Content K+D0 L+D1 M+D2 M+D2+D3 M+D2+D3 +D4 M+D2+D3 M+D2+D3 M+D2+D3 +D5 +D6 +D7 K+D0 L+D1 M+D2 M+D2+D3 M+D2+D3 +D4 No ChangeNo Change Don t care. No Read operation. 注释 : 此图中显示的所有加好都是在数据上执行的实例运算 21

22 2. Intel Stratix 10 嵌入式存储器体系结构和特性 通过使能一致性读取功能和实现转发逻辑,M20K 模块的输出可以是寄存的也可以是未寄存的 如要在 M20K 模块的硬件边界内匹配一致性电路的延迟, 您需要手动将额外的流水线寄存器添加到 wren 和 wraddress 路径上, 如下表所示 : 表 9. 流水线寄存器要求 输出寄存器 wren 和 wraddress 上的额外流水线寄存器 未寄存 (Unregistered) 0 已寄存 (Registered) Intel Stratix 10 支持的嵌入式存储器 IP 内核 表 10. Intel Stratix 10 存储器 IP 内核 此表列出并描述了 Intel Stratix 10 嵌入式存储器模块中所支持的 IP 内核 IP 内核 支持的存储器模式 M20K 支持 MLAB 支持 说明 RAM: 1-PORT Intel FPGA IP Single-port RAM Yes Yes 一次只能执行一个读或一个写操作 使用读使能端口控制写操作期间的 RAM 输出端口行为 : 保留最近有效读使能期间保持的之前值 创建一个读使能端口并通过置低此端口执行写操作 显示正在写入的新数据, 该地址上的旧数据, 或者 Don't Care 值 ( 当 read-during-write 出现在同一地址上 ) 不要创建 readenable 信号, 或者在写操作期间启用读使能 RAM: 2-PORT Intel FPGA IP RAM: 2-PORT Intel FPGA IP RAM: 4-PORT Intel FPGA IP Simple dual-port RAM True dual-port RAM Simple quad-port RAM Yes Yes 您可以对不同位置同时执行读写操作, 端口 A 进行写操作, 端口 A 进 行读操作 Yes 您可以执行两个端口操作的任意组合 : 在单一时钟模式下的两个读操 作 两个写操作, 或者一个读操作和一个写操作 Yes 您可以对不同位置同时执行两个读写操作, 其中在 address_a 和 address_b 信号 / 端口上指定写地址, 在 address2_a 和 address2_b 信号 / 端口上指定读地址 ROM: 1-PORT Intel FPGA IP ROM: 2 PORT Intel FPGA IP Single-port ROM Yes Yes 只有一个地址端口可用于读操作 存储器模块可用作 ROM 使用.mif 或.hex 初始化存储器模块的 ROM 数据 ROM 的地址行在 M20K 模块中寄存 ; 然而, 它们在 MLAB 中可以是未寄存的 输出可以是寄存的或者是未寄存的 输出寄存器能够被异步或者同步清零 ROM 的读操作与单端口 RAM 配置的读操作相同 Dual-port ROM Yes No dual-port ROM 具有与 single-port ROM 非常相似的功能端口 区 别在于 dual-port ROM 有一个用于读操作的额外地址端口 存储器模块可用作 ROM 使用.mif 或.hex 初始化存储器模块的 ROM 数据 ROM 的地址行在 M20K 模块中寄存 输出可以是寄存的或者是未寄存的 输出寄存器能够被异步或者同步清零 ROM 的读操作与 true dual-port RAM 配置中的读操作相同 Shift-register Yes Yes 存储器模块用作移位寄存器模块以节省逻辑单元和布线资源 继续... 22

23 2. Intel Stratix 10 嵌入式存储器体系结构和特性 IP 内核 支持的存储器模式 M20K 支持 MLAB 支持 说明 此模式在要求本地数据存储 ( 例如 : 有限脉冲响应 (FIR) 滤波器 伪随机数生成器 多通道滤波和自相关和互相关函数 ) 的 DSP 应用中很有用 传统上, 使用标准触发器 (flip-flop) 实现本地数据存储, 使用触发器实现大型移位寄存器会消耗大量逻辑资源 移位寄存器的大小 (w m n) 是由输入数据位宽 (w) 抽头 (tap) 长度 (m) 和抽头数量 (n) 决定 通过级联存储器模块, 能够实现更大的移位寄存器 FIFO Intel FPGA IP FIFO2 Intel FPGA IP Yes Yes 存储器模块用作 FIFO 缓存 使用 SCFIFO 和 DCFIFO 功能实现您设 计中的单时钟和双时钟异步 FIFO 缓存 对于使用小而浅的 FIFO 缓存的设计而言,MLAB 是 FIFO 模式的最理想选择 然而,MLAB 不支持混合宽度 FIFO 模式 警告 : 为避免损坏存储器数据, 请不要在读写操作期间违反任何嵌入式存储器模块输入寄存器上的建立及保持时间 此限制实施于 single-port RAM simple dual-port RAM true dual-port RAM simple quad-port RAM 或 ROM 模式下使用存储器模块时 相关链接 RAM-Based Shift Register (ALTSHIFT_TAPS) IP Core User Guide 2.8. Intel Stratix 10 嵌入式存储器时钟模式 每种 Intel Stratix 10 嵌入式存储器操作模式都有支持的时钟模式 表 11. 每种存储器模式支持的存储器模块时钟模式 时钟模式 存储器模式 Single-Port Simple Dual-Port True Dual-Port Simple Quad-Port Single-Port ROM Dual-Port ROM Single clock mode Read/write clock mode Input/output clock mode Yes Yes Yes Yes Yes Yes Yes (1) Yes Yes Yes (2) Yes Yes 注意 : 在 MLAB 模块的写地址, 字节使能和数据输入寄存器上支持时钟使能信号 单一时钟模式 (Single Clock Mode) 在单一时钟模式中, 单一时钟与时钟使能一起用于控制嵌入式存储器模块的所有寄存器 读 / 写时钟模式 (Read/Write Clock Mode) 在读 / 写时钟模式中, 每个读写端口分别使用单独的时钟 (1) read/write clock 模式通过仿真的真双端口完成 关于仿真的真双端口的详细信息, 请参考 True Dual Ports Dual Emulator 部分 (2) 输入和输出模式共享同一时钟 23

24 2. Intel Stratix 10 嵌入式存储器体系结构和特性 读时钟控制数据输出 读地址和读使能寄存器 写时钟控制数据输入 写地址 写使能和字节使能寄存器 输入 / 输出时钟模式 (Input/Output Clock Mode) 在输入 / 输出时钟模式中, 输入和输出端口分别使用单独的时钟 输入时钟控制所有与存储器模块数据输入相关的寄存器, 包括数据 地址 字节使能 读使能和写使能 输出时钟控制数据输出寄存器 时钟模式下的异步 / 同步清零 在所有的时钟模式下, 异步和同步清零仅用于输出锁存器和输出寄存器 对于独立 (read/write and input/output) 时钟模式, 在两个端口上都有异步和同步清零功能 同步读 / 写中的输出读数据 如果使用读 / 写时钟模式对同一地址进行同步读 / 写, 那么输出数据是未知的 如果要求输出读数据是一个可预测值, 那么要使用单时钟模式或者输入 / 输出时钟模式, 并且在 RAM/ROM IP cores 的参数编辑器中选择相应的 read-during-write 行为 时钟模式的独立时钟使能 以下时钟模式支持独立时钟使能 : 读 / 写时钟模式 受支持用于读写时钟 输入 / 输出时钟模式 受支持用于这两个端口的寄存器 如要降低功耗, 您可以使用时钟使能来控制指定寄存器的关闭 2.9. Intel Stratix 10 嵌入式存储器配置 表 12. 所支持的嵌入式存储器模块配置 此表列出了 Intel Stratix 10 嵌入式存储器模块支持的最大配置 嵌入式存储器模块深度 (bits) 可编程宽度 MLAB 32 16, 18, or 20 M20K 或 或 或 10 esram (3) x72 (3) 注意 : 仅用于 simple dual-port 注意 : 用于 simple dual-port 和 true dual-port 注意 : 用于 simple dual-port,true dualport 和 simple quad-port 注意 : 24

25 2. Intel Stratix 10 嵌入式存储器体系结构和特性 相关链接 esram Intel FPGA IP ( 第 55 页 ) 混合宽度端口配置仅在简单双端口 RAM 存储器操作模式中支持混合宽度端口配置 注意 : 表 13. MLAB 不支持混合宽度端口模式 Intel Stratix 10 所支持的混合宽度比率 操作模式 混合宽度比率 无字节使能 有字节使能 Simple dual-port 1,2,4,8,16 和 32 注意 : 8,16 和 32 是仿真的 对于仿真的比率, 使用更大宽度端口的.mif 尺寸 1,2 和 4 True dual-port 1 1 Simple quad-port 冻结逻辑 (Freeze logic) 冻结逻辑功能用于指定是否实现用于部分重配置区域中的时钟使能电路 此功能仅适用于 RAM 模式 : Single-port RAM Dual-port RAM Quad-port RAM Implement clock-enable circuitry for use in a partial reconfiguration 选项用于使能 RAM IP 内核的参数编辑器中的冻结逻辑功能 真双端口双时钟仿真器 (True Dual Ports Dual Clock Emulator) 真双端口 (TDP) 双时钟仿真器功能用于仿真 TDP 双时钟模式 此功能向后兼容支持 TDP 双时钟模式的 Intel Arria 10 器件 仅在以下条件下支持此功能 : 两个读 / 写端口操作模式 定制 A 和 B 端口时钟模式的时钟 注意 : 您必须开启 Emulate TDP dual clock mode 以使能双端口 RAM IP 内核的参数编辑器中的 TDP 双时钟仿真器功能 (3) esram 通道深度和宽度可通过编程来降低, 以实现节能 请参考 esram Intel FPGA IP Core 部分了解详 细信息 25

26 2. Intel Stratix 10 嵌入式存储器体系结构和特性 TDP 双时钟仿真器由两个 DCFIFO 和一个 RAM 模块组成 DCFIFO 处理控制信号的时钟域交叉 (CDC) 问题,DCFIFO 也是临时缓存, 在数据被 RAM 模块处理前或者处理后用于存储数据 由于不同时钟频率导致的非确定性延迟, 采用 valid 信号以识别输出数据是否有效 当 valid 信号置位时, 表示您应该遵守正确的输出数据 如果 valid 信号被置低, 则丢弃输出数据 表 14. Intel Arria 10 TDP 双时钟模式与 Intel Stratix 10 仿真 TDP 双时钟模式之间的差异 信号 Intel Arria 10 TDP 双时钟模式 Intel Stratix 10 仿真 TDP 双时钟模式 clocken 支持 支持 rden 支持 支持 wren 支持 支持 aclr 支持 sclr byteena 支持 与端口 A 的时钟连接必须是慢速时钟 ( 时钟 A), 与端口 B 的时钟连接必须是快速时钟 ( 时钟 B), 时钟 A 除以时钟 B 的时钟频率比大于或等于七 当您使用 TDP 双时钟仿真器功能时, 端口 A 和端口 B 将会有不同的延迟 端口 A 的延迟随着两个时钟频率之间的差异的增加而减小, 最小延迟为五个时钟周期 端口 B 延迟固定为两个时钟周期, 输出寄存器对此配置始终是使能的 下图显示了 TDP 双时钟仿真器功能的时序图 图 14. 端口 A 的输出情况 (3) clock_a clock_b valid wren_a wren_b rden_a rden_b data_a c5 aa e5 77 data_b 00 address_a address_b 40 enable_a enable_b q_a 00 7e 7d 7c q_b 00 Notes: 1. Read enable (rden_a) signal at Port A asserts. 2. Minimum latency of 5 clock_a clock cycles. 3. Valid signal assertion indicates that you should adhere to the correct output data. (1) (2) 26

27 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 15. 端口 B 的输出情况 (1) clock_a clock_b valid wren_a wren_b rden_a rden_b data_a 1e data_b 7f address_a 00 address_b 7f enable_a enable_b q_a 7f q_b Notes: 1. Latency of 2 clock_b clock cycle. 2. Valid data output (q_b) at Port B. (2) 27

28 2. Intel Stratix 10 嵌入式存储器体系结构和特性 图 16. 端口 A 的 Read-During-Write 情况 clock_a clock_b valid wren_a wren_b rden_a rden_b (1) (4) data_a 3f 12 8f f2 ce e8 c5 data_b 07 address_a address_b 40 3d 3e 3f enable_a enable_b q_a a 3b 3c 3d 3e 3f 12 8f q_b 00 Notes: 1. Write enable (wren_a) signal at Port A asserts. 2. Read enable signal (rden_a) signal at Port A is already high. 3. Latency of 5 clock_a clock cycles. 4. Port A same port RDW occurs and the flow through value appears as data output (q_a) at Port A after 5 clock_a clock cycles. (2) (3) 图 17. 端口 B 的 Read-During-Write 情况 clock_a (1) clock_b valid wren_a wren_b (2) rden_a rden_b data_a data_b address_a 77 7f 6b d5 02 ae 1d cf a address_b 7f enable_a enable_b q_a 7f q_b 7e 7f 6b d5 02 ae 1d cf Notes: 1. Latency of 2 clock_b clock cycles. 2. Port B same port RDW occurs and the flow through value appears as data output (q_b) at Port B after 2 clock_b clock cycles. 28

29 2. Intel Stratix 10 嵌入式存储器体系结构和特性 读和写地址寄存器的初始值 在 Intel Stratix 10 器件中,M20K 模块的硬件中没有用于在进入用户模式后对地址寄存器进行清零的冻结寄存器 (freeze register,frzreg) 这会在发送任何有效地址之前在硬件中产生非确定性地址值 因此, 地址寄存器已在仿真模型中初始化为 X 下图是一个波形, 显示了包括寄存输出的简单双端口 RAM 的地址寄存器的值被初始化为 X 的行为 图 18. 包括寄存输出的简单双端口 RAM 时序图 clock data fe 8d ad fb 8f b3 50 6f de b3 23 4a fd fb 5f fb 5f b a5 00 0b ac 71 a0 90 c9 4c f0 6c 61 a4 7a f a 21 b7 f3 a3 bc fa 2e a9 87 bb f5 db enable rd_addressstall wr_addressstall rdaddress wraddress rden wren aclr eccstatus X 0 X 0 X 0 X 0 X 0 X 0 (2) q 0 X 0 X 0 X 0 X 0 X 0 X (1) (1) (1) (1) (1) (1) Notes: 1. Output data q and eccstatus are dont_care since the stalled read address value is non-deterministic and remains dont_care even after the output register is asynchronously cleared. 2. Output data q and eccstatus are now deterministic value since the read address is now a deterministic value. Output unregistered design will observe this behavior one clock cycle earlier

30 3. Intel Stratix 10 嵌入式存储器设计考量 为确保 Intel Stratix 10 设计成功, 需要注意以下方面 注意 : 除非另有说明, 这些考量适用于 Intel Stratix 10 器件的所有系列 3.1. 考虑存储器模块选择 Intel Quartus Prime 软件根据用户存储器设计的速度与大小, 来自动划分实现时存储器模块的数量与配置方式 例如, 为提高设计性能, Intel Quartus Prime 软件可能将由 1 块 RAM 实现的存储器设计, 扩展为由多块 RAM 来实现 使用 On-Chip Memory IP cores 的参数编辑器来手动对存储器分配特定的模块大小 对于 MLAB, Intel Quartus Prime 软件会通过使用普通逻辑资源来模拟实现 Single-port SRAM 模拟过程会使用最少的额外逻辑资源来实现 由于 MLAB 的复用体系结构, 模块只有数据输入寄存器, 输出寄存器和写地址寄存器 MLAB 从 ALM 获得读地址寄存器 注意 : 对于 Intel Stratix 10 器件,Resource Property Editor 和 Timing Analyzer 报告 M20K 模块的位置为 EC_X<number>_Y<number>_N<number>, 尽管所允许的分配位置是 M20K_X<number>_Y<number>_N<number> Embedded Cell (EC) 是 M20K 模块的子位置 3.2. 并行读取行为的考量 Intel Stratix 10 嵌入式存储器模块通过在同一地址上使用双重并发写入操作提供了损坏性和非损坏性的硬件行为 如果在真双端口模式和单一四端口模式下使用此存储器模块, 则可使用此特性 默认情况下, 在同一地址的双重并发写入时, 存储器模块将会损坏 要显示存储器模块中的一个无损坏的硬件行为, 需要在仿真器设置脚本文件中包含用户定义的选项 ENA_NON_CORRUPT = 1 当出现双重并发写入时, 物理仿真使用时分复用方法在相同的数据宽度下将 Port A 和 Port B 复用在一起 在此序列中,Port B 的值将首先被写入, 然后在同一地址写入 Port A 的值 这导致 Port A 的值被写入到存储器中 3.3. 自定义 Read-During-Write 行为 自定义存储器模块的 read-during-write 行为以满足您的设计要求 Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2015 Registered

31 3. Intel Stratix 10 嵌入式存储器设计考量 图 19. Read-During-Write 数据流程 此图显示了两种类型的 read-during-write 操作 相同端口和混合端口之间的差异 Port A data in FPGA Device Port B data in Port A data out Port B data out Mixed-port data flow Same-port data flow Same-Port Read-During-Write 模式 same-port read-during-write 模式适用于单端口 RAM, 简单四端口 RAM 或者真双端口 RAM 的同一端口 表 15. Same-Port Read-During-Write 模式下嵌入式存储器模块的输出模式 此表列出了选择 same-port read-during-write 模式的嵌入式存储器模块时的可用输出模式 输出模式存储器类型说明 New Data M20K 在新数据写入的时钟周期上升沿, 输出数据亦为这一新数据 Don't Care M20K, MLAB RAM 对 read-during-write 操作生成 Don't Care 值 注意 : 对于 QUAD_PORT 操作模式,Don't Care 模式是相同端口 read-during-write 操作的唯一输出模式 图 20. Same-Port Read-During-Write: New Data Mode 此图显示了 New Data 模式中 same-port read- during- write 行为的采样功能波形 clk_a address rden wren 0A 0B byteena data_a q_a (asynch) A123 B456 C789 DDDD EEEE FFFF 11 A123 B456 C789 DDDD EEEE FFFF 31

32 3. Intel Stratix 10 嵌入式存储器设计考量 图 21. Same-Port Read-During-Write: Don't Care Mode 此图显示了 Don't Care 模式中 same-port read-during-write 行为的采样功能波形 clk_a address 0A 0B rden wren byteena data_a q_a (asynch) A123 B456 C789 DDDD EEEE FFFF 11 XXXX (unknown data) Mixed-Port Read-During-Write 模式 mixed-port read-during-write 模式应用于简单双端口 RAM 模式 两个端口使用同一时钟对同一存储器地址执行读写操作, 一个端口读数据, 一个端口写数据 表 16. Mixed-Port Read-During-Write 模式中的 RAM 输出模式 输出模式存储器类型说明 New Data MLAB 对不同端口的 read-during-write 操作会导致 MLAB 寄存输出在数据被写入到 MLAB 存储器后的下一个时钟上升沿显示为 New Data 仅当输出被寄存时才可使用此模式 Old Data M20K, MLAB 对不同端口的 read-during-write 操作会导致 RAM 输出端在相应地址上显示 Old Data 值 对于 MLAB, 仅当输出被寄存时才可使用此模式 Don't Care M20K, MLAB RAM 输出 Don't Care 或者 Unknown 值 对于 M20K, Intel Quartus Prime 软件不分析读写操作之间的时序 对于 MLAB, 默认情况下 Intel Quartus Prime 软件不分析读写操作之间的时序 要使能此行为 : 关闭 embedded memory IP core parameter editor 中的 Do not analyze the timing between write and read operation. Metastability issues are prevented by never writing and reading at the same address at the same time 选项 或者 在 Advanced Fitter Setting 中开启 MLAB Add Timing Constraints For Mixed-Port Feed-Through Mode Setting Don't Care 选项 注意 : 在 M20K 的真双端口操作中, 您将在仿真中的 mix-port read-duringwrite 模式期间得到新的数据值 当输出模式设置为 Don't Care 时, 仿真值应将其视为垃圾值 New_a_old_b M20K 此模式仅适用于 M20K 的 simple-quad 端口, 其中对不同端口的 read-duringwrite 操作会导致 RAM 输出在端口 A 反映新数据, 在端口 B 反映旧数据 32

33 3. Intel Stratix 10 嵌入式存储器设计考量 表 17. 混合端口 Read-During-Write 输出行为 此表列出并描述了 mixed-port read-during-write 模式的输出行为 这些行为仅适用于 MLAB 模块 RAM: 2-PORT Intel FPGA IP 设置 参数 使能的参数选项 altera_syncram 参数 (read_during_writ e_mode_mixed_ ports) 输出行为 Read-During- Write 时的输出数据 MLAB Atom ( 在 Chip Planner 中可见 ) Mixed Port Read-During- Write for Single Input Clock RAM How should the q_a and q_b outputs behave when reading a memory location that is being written from the other ports? Old memory contents appear old_data Old data (4) New Data New data new_data New data New Data I do not care (The outputs will be undefined) I do not care (The outputs will be undefined) Do not analyze the timing between write and read operation. Metastability issues are prevented by never writing and reading at the same address at the same time. constrained_dont _care New data Constrained Don't Care dont_care New data Don't Care 图 22. Mixed-Port Read-During-Write: New Data 模式 此图显示了 New Data 模式的 mixed-port read- during-write 行为的采样功能波形 clk_a&b wren_a address_a A0 A1 data_a byteena_a AAAA BBBB CCCC DDDD EEEE FFFF 11 rden_b address_b A0 A1 q_b (synch) XXXX AAAA BBBB CCCC DDDD EEEE FFFF (4) Old data 是通过外部软核逻辑实现的, 因为 MLAB 模块自身仅支持 new data 33

34 3. Intel Stratix 10 嵌入式存储器设计考量 图 23. Mixed-Port Read-During-Write: Old Data 模式 此图显示了 Old Data 模式的 mixed-port read- during-write 行为的采样功能波形 clk_a&b wren_a address_a A0 A1 data_a byteena_a AAAA BBBB CCCC DDDD EEEE FFFF 11 rden_b address_b A0 A1 q_b (asynch) A0 (old data) AAAA BBBB A1 (old data) DDDD EEEE 图 24. Mixed-Port Read-During-Write: Don't Care 模式 此图显示了 Don't Care 模式的 mixed-port read-during-write 行为的采样功能波形 此行为仅适用于 M20K 模块 clk_a&b wren_a address_a A0 A1 data_a byteena_a AAAA BBBB CCCC DDDD EEEE FFFF rden_b address_b A0 A1 q_b (asynch) XXXX (unknown data) 34

35 3. Intel Stratix 10 嵌入式存储器设计考量 图 25. Mixed-Port Read-During-Write: New_a_old_b 模式 此图显示了 New_a_old_b 模式的 mixed-port read-during-write 行为的采样功能波形 clk_a&b OP1 OP2 OP3 OP4 OP5 OP6 OP7 OP8 OP9 address_a A0 A0 A0 A0 A0 A0 A0 A0 A0 address2_a address_b address2_b A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 A0 wren_a wren_b rden_a rden_b data_a_in data_b_in Mem_a&b DA0 DA1 DA2 DA3 DA4 DA5 DA6 DA7 DA8 DB0 DB1 DB2 DB3 DB4 DB5 DB6 DB7 DB8 DA0 No change DA2 DA3 DB4 DA5 DA6 DB7 DB8 Unregistered A_dout Unknown DA0 No change Unknown DB4 Unknown No change DB7 No change Registered A_dout Unknown DA0 No change Unknown DB4 Unknown No change DB7 No change Unregistered B_dout Unknown DA0 No change DA2 Unknown No change DA5 No change Unknown Registered B_dout Unknown DA0 No change DA2 Unknown No change DA5 No change Unknown Notes: 1. When the same-port read-during-write and mixed-port read-during-write behaviors exist simultaneously (OP1), the single quad-port will honor the same-port read-during-write behavior. 2. When the same-port read-during-write behavior happens, the output should be unknown 考虑上电状态和存储器初始化 如果您的设计逻辑评估初始上电值, 那么需要考虑不同类型存储器模块的上电状态 35

36 3. Intel Stratix 10 嵌入式存储器设计考量 表 18. 嵌入式存储器模块的初始上电值 存储器类型输出寄存器上电值 MLAB 已用零 ( 清零 ) 旁路 读存储器内容 M20K 已用零 ( 清零 ) 旁路零 ( 清零 ) 3.5. 降低功耗 默认情况下, Intel Quartus Prime 软件将 Intel Stratix 10 器件中的嵌入式存储器模块初始化成零, 除非在.mif 中的存储器数据中指定 MLAB 和 M20K 嵌入式存储器模块支持使用.mif 进行初始化 您可以在 Intel Quartus Prime 软件中创建.mif 文件, 在设计中创建存储器的实例时, 通过 on-chip memory IP core 来指定对它们的使用 尽管存储器被预初始化 ( 例如, 使用一个.mif 文件 ), 但它仍然会通过输出端清零进行上电 降低您设计中每个存储器模块的交流 (AC) 功耗 使用 Intel Stratix 10 存储器模块使能来控制每个嵌入式存储器模块的时钟 使用读使能信号来确保读操作仅在必要时出现 如果您的设计不要求 read-during-write, 那么在写操作期间或者无存储器操作期间, 可以通过置低读使能信号以降低功耗 使用 Intel Quartus Prime 软件自动将未使用的嵌入式存储器模块置于低功耗状态来降低静态功耗 36

37 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 通过使用 Intel Quartus Prime 软件中的 On-Chip Memory IP cores 可以访问 Intel Stratix 10 嵌入式存储器的特性 On-Chip Memory IP core 包括 : RAM: 1-Port Intel FPGA IP 例化单端口 RAM RAM: 2-Port Intel FPGA IP 例化双端口和双向端口 RAM RAM: 4-Port Intel FPGA IP 例化四端口 RAM ROM: 1-Port Intel FPGA IP 例化单端口 ROM ROM: 2-Port Intel FPGA IP 例化双端口和双向端口 ROM esram (Embedded Synchronous Random Access Memory) Intel FPGA IPe 例化 native esram 模块 First-In-First-Out (FIFO) Intel FPGA IP 例化 FIFO Intel FPGA IP core FIFO2 Intel FPGA IP 例化 FIFO2 Intel FPGA IP core 在 Intel Quartus Prime 软件的参数编辑器中描述了每个 IP core 参数信息 相关链接 Introduction to Intel IP Cores 提供有关所有 Intel FPGA IP 内核的一般信息, 包括参数化 生成 更新和仿真 IP 内核 Creating Version-Independent IP and Qsys Simulation Scripts 创建不需要对软件进行手动更新和不需要 IP 版本升级的仿真脚本 Project Management Best Practices 提供关于您的工程和 IP 文件的高效管理和可移植性指南 4.1. 片上存储器 RAM 和 ROM Intel FPGA IP core 表 19. 片上存储器 Intel FPGA IP core 特性 RAM: 1-PORT Intel FPGA IP 从单一地址的非同时读写操作 读使能端口, 指定写操作期间 RAM 输出端口的行为, 覆盖或者保留现有值 使用模块 RAM 的 DUAL_PORT 配置仿真单端口 ROM RAM: 2-PORT Intel FPGA IP 简单双端口 RAM 对不同位置的同时一个读和一个写操作 支持纠错码 (ECC) 使用模块 RAM 的 DUAL_PORT 配置仿真单端口 RAM 继续... Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2015 Registered

38 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 片上存储器 Intel FPGA IP core 特性 真双端口 RAM 同时两个读操作 同时两个写操作 在两个不同时钟频率上的同时一个读和一个写操作 使用模块 RAM 的 BIDIR_DUAL_PORT 配置仿真双端口 ROM RAM: 4-PORT Intel FPGA IP 对不同位置的同时两个读和两个写操作 ROM: 1-PORT Intel FPGA IP 用于只读操作的一个端口 ROM: 2-PORT Intel FPGA IP 用于只读操作的两个端口 手动更改参数设置 使用 IP Parameter Editor 生成 IP core 时, 您可以使用此流程来更改指定存储器模式的参数设置 然而, 如要更改存储器模式, 则需要使用 IP Parameter Editor 配置并重新生成 IP core 按照下面步骤手动更改参数设置 : 1. 找到 Verilog 设计文件 :<project directory>/<project name_software version>/ synth/<project name_rtl>.v 2. 更改设计文件中的参数设置 确保使用 参数和信号 部分中指定的合法参数值, 否则将导致编译错误 3. 使用 Intel Quartus Prime 软件编译设计 例如, 下面代码使能 ECC 功能并指定初始化文件 altera_syncram_component.enable_ecc = "TRUE", altera_syncram_component.ecc_pipeline_stage_enabled = "FALSE", altera_syncram_component.init_file = "mif1.mif", 如要禁止 ECC 功能和指定一个不同的.mif 文件, 则需要进行如下更改 altera_syncram_component.enable_ecc = "FALSE", altera_syncram_component.ecc_pipeline_stage_enabled = "FALSE", altera_syncram_component.init_file = "mif2.mif", RAM 和 ROM 参数设置 表 20. altera_syncram 的参数 手动编辑设计文件时请使用参数列表 名称合法值说明 operation_mode SINGLE_PORT DUAL_PORT BIDIR_DUAL_PORT QUAD_PORT ROM 存储器模块的操作模式 width_a 端口 A 的数据宽度 widthad_a 端口 A 的地址宽度 widthad2_a 端口 A 的地址 2 宽度 继续... 38

39 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 名称合法值说明 numwords_a 存储器模块中用于端口 A 的数据字的数量 outdata_reg_a outdata_aclr_a outdata_sclr_a UNREGISTERED CLOCK1 CLOCK0 NONE CLEAR1 CLEAR0 NONE SCLEAR 检查端口 A 的数据输出寄存器 端口 A 的数据输出寄存器的异步清零 当 outdata_reg_a 参数设置成 UNREGISTERED 时, 此参数指定输出锁存器 (output latch) 的清零参数 端口 A 的数据输出寄存器的同步清零 当 outdata_reg_a 参数设置成 NONE 时, 此参数指定输出锁存器 (output latch) 的清零参数 width_byteena_a 端口 A 的字节使能总线的宽度 宽度必须等于 width_a 除以字节大小 在没有使用字节使时, 才能 使用默认值 1 width_b 端口 B 的数据宽度 widthad_b 端口 B 的地址宽度 widthad2_b 端口 B 的地址 2 宽度 numwords_b 存储器模块中用于端口 B 的数据字的数量 outdata_reg_b indata_reg_b address_reg_b byteena_reg_b outdata_aclr_b outdata_sclr_b UNREGISTERED CLOCK1 CLOCK0 CLOCK1 CLOCK0 CLOCK1 CLOCK0 CLOCK1 CLOCK0 NONE CLEAR1 CLEAR0 NONE SCLEAR 检查端口 B 的数据输出寄存器 检查端口 B 的数据输入寄存器 检查端口 B 的地址寄存器 检查端口 B 的字节使能寄存器 端口 B 的数据输出寄存器的异步清零 当 outdata_reg_b 参数设置成 UNREGISTERED 时, 此参数指定输出锁存器 (output latch) 的清零参数 端口 B 的数据输出寄存器的同步清零 当 outdata_reg_b 参数设置成 NONE 时, 此参数指定输出锁存器 (output latch) 的清零参数 width_byteena_b 端口 B 的字节使能总线的宽度 宽度必须等于 width_b 除以字节大小 在没有使用字节使时, 才能 使用默认值 1 ram_block_type M20K MLAB AUTO 存储器模块类型 byte_size 字节使能模式的字节大小 read_during_write_mode_mixed_ ports DONT_CARE CONSTRAINT_DONT_CARE read-during-write 模式的行为 继续... 39

40 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 名称合法值说明 init_file init_file_layout NEW_DATA OLD_DATA NEW_A_OLD_B *.mif *.hex PORT_A PORT_B 默认值是 DONT_CARE 只有当读地址和输出数据被写时钟在 LUTRAM 模式下寄存时才支持 NEW_DATA 的值 CONSTRAINED_DONT_CARE 的值仅在 LUTRAM 模式下受支持 只有在 operation_mode 参数设置成 QUAD_PORT 时才支持 NEW_A_OLD_B 的值 始化文件 初始化文件的布局 maximum_depth 存储器模块切片 (memory block slices) 的深度 clock_enable_input_a clock_enable_output_a clock_enable_input_b clock_enable_output_b read_during_write_mode_port_a read_during_write_mode_port_b enable_ecc ecc_pipeline_stage_enabled enable_coherent_read enable_force_to_zero NORMAL BYPASS NORMAL BYPASS NORMAL BYPASS NORMAL BYPASS NEW_DATA_NO_NBE_READ NEW_DATA_WITH_NBE_RE AD OLD_DATA DONT_CARE NEW_DATA_NO_NBE_READ NEW_DATA_WITH_NBE_RE AD OLD_DATA DONT_CARE TRUE FALSE TRUE FALSE TRUE FALSE TRUE FALSE 端口 A 的输入寄存器的时钟使能 端口 A 的输出寄存器的时钟使能 端口 B 的输入寄存器的时钟使能 端口 B 的输出寄存器的时钟使能 端口 A 的 read-during-write 行为 端口 B 的 read-during-write 行为 使能或禁用 ECC 功能 指定是否在输出解码器之前使能 ECC Pipeline Registers 以达到与 non-ecc 模式相同的性能, 但要以一个周期的延迟为代价 如果此参数设置为 TRUE, 那么参数 enable_ecc 必须设置成 TRUE 如果此参数设置为 TRUE, 那么参数 outdata_reg_b 不能设置成 UNREGISTERED 默认值为 FALSE 使能或禁用一致性读取功能 默认值为 FALSE 使能或禁用 Force-to-Zero 功能 默认值为 FALSE width_eccencparity 8 eccencparity 信号的宽度 40

41 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 RAM: 1-PORT Intel FPGA IP 参数 此表列出了 RAM: 1-PORT Intel FPGA IP core 的参数 表 21. RAM: 1-PORT Intel FPGA IP 参数说明 参数合法值说明 Parameter Settings: Widths/Blk Type/Clks How wide should the q output bus be? 指定 q 输出总线的宽度 How many words of memory? 指定比特字的数量 What should the memory block type be? Auto, MLAB, M20K, LCs 指定存储器模块类型 可选择的存储器模块类型取决于您的目标器件 Set the maximum block depth to MLAB: Auto, 32 M20K: Auto, 512, 1024, 2048 LCs: Auto 指定最大模块深度 ( 以字为单位 ) How should the memory be implemented? What clocking method would you like to use? Use default logic cell style Use Stratix M512 emulation logic cell style Single clock Dual clock: use separate input and output clocks 指定逻辑单元实现方法 如果您倾向于更小更快的存储器性能, 那么选择 Use default logic cell style 如果您想要存储器兼容于 Stratix M512 仿真类型, 那么选择 Use Stratix M512 emulation logic cell style 选择要使用的钟控方法 (clocking method) Single clock 个单一时钟和一个时钟使能控制存储器模块的所有寄存器 Dual clock: use separate input and output clocks 一个输入和输出时钟控制与存储器模块 ( 包括数据 地址 字节使能 读使能和写使能 ) 的数据输入和输出相关的全部寄存器 Parameter Settings: Regs/Clken/Byte Enable/Aclrs Which ports should be registered? 选项如下 : data and wren input ports address input port q output port Create one clock enable signal for each clock signal. 注意 : 所有寄存端口都由使能信号控制 On/Off On/Off 指定是否寄存输入和输出端口 指定是否开启对每个时钟信号创建一个时钟使能信号的选项 更多选项 Use clock enable for port A input registers On/Off 指定是否将时钟使能用于端口 A 输入寄存器 Use clock enable for port A output registers On/Off 指定是否将时钟使能用于端口 A 输出寄存器 Create an addressstall_a input port. On/Off 指定是否创建 addressstall_a 输入端口 您可以创建此端口以用作地址寄存器的额外的低电平有效使能输入 Create byte enable for port A On/Off 指定是否对端口 A 创建一个字节使能 如果想通过屏 蔽输入数据而只写入数据的特定字节, 半字节或比 特, 那么开启此选项 继续... 41

42 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 参数合法值说明 要使能端口 A 和端口 B 的字节使能,RAM: 1-PORT 和 RAM: 2-PORT Intel FPGA IP cores 的数据宽度比率需要为 1 或 2 What is the width of a byte for byte enables? Create an aclr asynchronous clear for the registered ports. data port wren port address port q port byteena_a port Create an sclr synchronous clear for the registered port. q port MLAB: 5 or 10 Other memory block types: 8 or 9 M20K: 8, 9, or 10 On/Off On/Off 指定字节使能端口的字节宽度 数据输入端口的宽度必须能够被字节大小整除 如果想要寄存的 data, wren, address, q 和 byteena_a 端口受异步清零信号的影响, 那么开启此选项 禁止的端口不受异步清零信号的影响 如果想要 q 端口受同步清零信号的影响, 那么开启此选项 Create a rden read enable signal On/Off 如果想要创建一个读使能信号, 那么开启此选项 Parameter Settings: Read During Write Option What should the q output be when reading from a memory location being written to? Don t Care, New Data 指定出现 read-during-write 时的输出行为 Don t Care RAM 输出 read-during-write 操作的 don't care 或 unknown 值 New Data 新数据在被写入时的同一时钟的上升沿上可用 Get x s for write masked bytes instead of old data when byte enable is used On/Off 开启此选项以在屏蔽字节上的取得 X 对于 M20K 存储器模块, 如果您将 New Data 指定为 RDW 出现时的输出行为, 那么此选项不可用 Parameter Settings: Mem Init Do you want to specify the initial content of the memory? No, leave it blank Yes, use this file for the memory content data 指定存储器的初始化内容 如要将存储器初始化为零, 则选择 No, leave it blank. 如要使用存储器初始化文件 (.mif) 或者十六进制 (Intel-format) 文件 (.hex), 则选择 Yes, use this file for the memory content data. Initialize memory content data to XX..X on power-up in simulation On/Off Implement clock-enable circuitry for use in a partial reconfiguration region Allow In-System Memory Content Editor to capture and update content independently of the system clock On/Off On/Off 指定是否实现用于部分重配置区域中的时钟使能电路 指定是否允许 In-System Memory Content Editor 独立于系统时钟来采集和更新内容 The Instance ID of this RAM is NONE 指定 RAM ID Parameter Settings: Performance Optimization Enable Force To Zero On/Off 指定在置低读使能信号时是否将输出设成零 当所选的存储器深度大于一个存储器模块时, 使能此功能将有助于提高胶合逻辑性能 42

43 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 RAM: 2-PORT Intel FPGA IP 参数 此表列出了 RAM: 2-PORT Intel FPGA IP core 的参数 表 22. RAM: 2-PORT Intel FPGA IP 参数设置 Parameter Settings: General 参数合法值说明 How will you be using the dual port RAM? How do you want to specify the memory size? Operation mode: With one read port and one write port With two read /write ports Type: As a number of words As a number of bits 指定如何使用双端口 RAM 决定以字为单位还是以比特为单位来指定存储器容量 Parameter Settings: Widths/ Blk Type How many words of memory? 指定字的数量 Use different data widths on different ports On/Off 指定是否在不同的端口上使用不同的数据宽度 When you select With one read port and one write port or With two read/write ports, the following options are available: How wide should the q_a output bus be? How wide should the data_a input bus be? How wide should the q_b output bus be? 指定输入和输出端口的宽度 Ram block type Auto, MLAB, M20K, LCs 指定存储器模块类型 可选择的存储器模块 类型取决于您的目标器件 Set the maximum block depth to MLAB: Auto, 32 M20K: Auto, 512, 1024, 2048 LCs: Auto 指定最大模块深度 ( 以字为单位 ) MLAB: Auto, 32 M20K: Auto, 512, 1024, 2048 LCs: Auto How should the memory be implemented? Parameter Settings: Clks/Rd, Byte En Use default logic cell style Use Stratix M512 emulation logic cell style 指定逻辑单元实现方法 如果您倾向于更小更快的存储器性能, 那么选择默认的逻辑的单元类型 如果您想要存储器兼容于 Stratix M512 仿真类型, 那么选择 Stratix M512 仿真逻辑单元类型 注意 : 此选项仅在选择了 LCs 存储器类型时可用 What clocking method would you like to use? Single clock Dual clock: use separate input and output clocks Dual clock: use separate read and write clocks No clock (fully asynchronous) Customize clocks for A and B ports 指定要使用的钟控方法 (clocking method) 继续... 43

44 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 参数合法值说明 Single clock 一个单一时钟和一个时钟使能控制存储器模块的所有寄存器 Dual Clock: use separate input and output clocks 一个输入和输出时钟控制与存储器模块 ( 包括数据 地址 字节使能 读使能和写使能 ) 的数据输入和输出相关的全部寄存器 Dual clock: use separate read and write clock 一个写时钟控制 data-input writeaddress 和 write-enable 寄存器, 而读时钟控制 data-output read-address 和 read-enable 寄存器 Dual clock: use separate clocks for A and B ports clock A 控制端口 A 一侧上的全部寄存器 ;clock B 控制端口 B 一侧上的全部寄存器 每个端口也分别对端口 A 以及端口 B 支持独立时钟使能 No clock (fully asynchronous) Customize clocks for A and B ports When you select With two read/ write ports and Customize clocks for A and B ports clocking method, the following option is available: Emulate TDP dual clock mode When you select With one read port and one write port, the following option is available: Create a rden read enable signal When you select With two read/ write ports, the following option is available: Create a rden_a and rden_b read enable signals 指定是否仿真 TDP 双时钟模式 到 Port A 的时钟连接必须是慢时钟, 而连接到 Port B 的时钟必须是快时钟 指定是否对端口 B 创建一个读使能信号 指定是否对端口 A 和 B 创建一个读使能信号 Create byte enable for port A 指定是否对端口 A 和 B 创建一个字节使能 如果想通过屏蔽输入数据而只写入数据的特 Create byte enable for port B 定字节, 半字节或比特, 那么开启此选项 要使能端口 A 和端口 B 的字节使能,RAM: 1-PORT 和 RAM: 2-PORT Intel FPGA IP cores 的数据宽度比率需要为 1 或 2 对端口 B 创建字节使能的选项仅在选择了 With two read/write ports 选项时可用 What is the width of a byte for byte enables? MLAB: 5 or 10 Other memory block types: 8 or 9 M20K: 8, 9, or 10 指定字节使能的字节宽度 只有选择了 Create byte enable for port A 和 / 或 Create byte enable for port B 选项时, 此选项才可用 Enable Error Correction Check (ECC) On/Off 指定是否使能 ECC 功能, 此功能纠正单比特错误 (single bit errors), 双邻比特错误 (double adjacent bit rrors), 并检测存储器输出上的三邻比特错误 (triple adjacent bit errors) 继续... 44

45 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 参数合法值说明 Enable ECC Pipeline Registers On/Off 指定是否在输出解码器之前使能 ECC Pipeline Registers 以达到与 non-ecc 模式相同的性能, 但要以一个周期的延迟为代价 Enable ECC Encoder Bypass On/Off 指定是否使能 ECC 编码器旁路功能, 该功能 使您能够通过 eccencparity 端口选择性地将 奇偶校验位插入到存储器中 Enable Coherent Read On/Off 指定是否使能一致性读取 (coherent read) 功能, 此功能使您能够读出当前存储器内容, 并对此内容执行操作, 并在相同周期内写回到同一位置 Parameter Settings: Regs/Clkens/Aclrs Which ports should be registered? When you select With one read port and one write port, the following options are available: All write input ports raddress port q_b port When you select With two read/ write ports, the following options are available: All write input ports raddress port q_a port q_b port Clock Enables When you select With one read port and one write port, the following option is available: Use different clock enables for registers Use clock enable for write input registers Use clock enable for read input registers Use clock enable for output registers When you select With two read / write ports, the following options are available: Use different clock enables for registers Use clock enable for port A input registers Use clock enable for port A output registers Use clock enable for port B input registers Use clock enable for port B output registers On/Off On/Off 指定是否寄存读或写输入和输出端口 指定是否对读和写寄存器创建时钟使能 Addressstalls On/Off 指定是否对地址寄存器创建时钟使能 您可 以创建这些端口以用作地址寄存器的额外的 低电平有效使能输入 继续... 45

46 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 参数合法值说明 When you select With one read port and one write port, the following option is available: Create a addressstall_a input port. Aclr Options When you select With one read port and one write port, the following option is available: q_b port When you select With two read / write ports, the following options are available: q_a port q_b port Sclr Options When you select With one read port and one write port, the following option is available: q_b port When you select With two read / write ports, the following options are available: q_a port q_b port On/Off On/Off 指定是否为已寄存的端口创建异步清零端口 指定 aclr 端口是否清除 'q_a' 和 'q_b' 端口 指定是否对寄存端口创建一个同步清零端口 指定 q_a 和 q_b 端口是否由 sclr 端口清零 Parameter Settings: Output 1 ( 此选项卡仅在选择了一个读端口和一个写端口时可用 ) How should the q_a and q_b outputs behave when reading a memory location that is being written from the other port? Do not analyze the timing between write and read operation. Metastability issues are prevented by never writing and reading at the same address at the same time. New Data Old memory contents appear I do not care (The outputs will be undefined) On/Off 指定 read-during-write 出现时的输出行为 New Data 新数据在被写入时的同一时钟的上升沿上可用 Old memory contents appear RAM 输出反映了进行写操作前此地址上的旧数据 I do not care 此选项的作用会根据您选择的存储器模块类型的不同而不同 : 当存储器模块类型设置为 :Auto, M20K 或任何其他模块 RAM 时, RAM 对 read-during-write 操作输出 don't care 或者 unknown 值 ( 没有分析时序路径 ) 当存储器模块类型设置成 MLAB (for LUTRAM) 时,RAM 对 readduring-write 操作输出 don't care 或者 unknown 值, 但要分析时序路径以防止亚稳态 当想要 RAM 对 read-during-write 操作输出 don t care 或者 unknown 值而不进行时序路径分析时开启此选项 此选项仅用于 LUTRAM, 当存储器模块类型设置成 MLAB 时使能 Parameter Settings: Output 2 ( 此选项卡仅在选择了两个读 / 写端口时可用 ) 继续... 46

47 4. Intel Stratix 10 嵌入式存储器 IP 内核参考 参数合法值说明 What should the q_a output be when reading from a memory location being written to? What should the q_b output be when reading from a memory location being written to? Get x s for write masked bytes instead of old data when byte enable is used Parameter Settings: Mem Init New data Old Data 指定 read-during-write 出现时的输出行为 New Data 新数据在被写入时的同一时钟的上升沿上可用 Old Data RAM 输出反映了进行写操作前此地址上的旧数据 On/Off 开启此选项以在屏蔽字节上获得 X Do you want to specify the initial content of the memory? No, leave it blank Yes, use this file for the memory content data 指定存储器的初始化内容 如要将存储器初始化为零, 则选择 No, leave it blank 如要使用存储器初始化文件 (.mif) 或者十六进制 (Intel-format) 文件 (.hex), 则选择 select Yes, use this file for the memory content data Initialize memory content data to XX..X on power-up in simulation On/Off The initial content file should conform to which port's dimensions? Implement clock-enable circuitry for use in a partial reconfiguration region Parameter Settings: Performance Optimization PORT_A, PORT_B On/Off 如果您选择对存储器内容数据使用初始化内容文件, 那么选择此文件应该符合的端口 指定是否实现用于部分重配置区域中的时钟使能电路 Enable Force to Zero On/Off 指定在置低读使能信号时是否将输出设成 零 当所选的存储器深度大于一个存储器模块时, 使能此功能将有助于提高胶合逻辑性能 RAM: 4-PORT Intel FPGA IP 参数 此表列出了 RAM: 4-PORT Intel FPGA IP core 的参数 表 23. RAM: 4-PORT Intel FPGA IP 参数设置 Parameter Settings: Widths/ Blk Type 参数合法值说明 How many words of memory? 指定比特字的数量 How wide should the q_a and q_b output bus be? 指定输入和输出端口的宽度 RAM block type Auto, M20K 指定存储器模块类型 可选择的 存储器模块类型取决于您的目标 器件 Set the maximum block depth to M20K: Auto, 512, 1024, 2048 指定最大模块深度 ( 以字为单位 ) Parameter Settings: Clks/Rd, Byte En What clocking method would you like to use? Single clock 指定要使用的钟控方法 (clocking method) 继续... 47

Stratix V器件中的嵌入式存储器模块

Stratix V器件中的嵌入式存储器模块 2 SV51003 订阅 器件中的嵌入式存储器模块具有高度灵活性, 并能够根据您的设计要求提供最佳数量的小型或大型存储器阵列 相关链接 Stratix V Device Handbook: Known Issues 列出了对 Stratix V 器件手册章节的规划更新 嵌入式存储器类型 Stratix V 器件包含两种类型的存储器模块 : 20 Kb M20K 模块 专用存储器资源的模块 M20K

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资 IBM 全 球 企 业 咨 询 服 务 部 IBM 商 业 价 值 研 究 院 案 例 研 究 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 中 国 五 矿 集 团 公 司 ( 以 下 简 称 五 矿 集 团 ) 人 力 资 源 系 统 就 像 一 座 虚 拟 的 人 力 资 源 大 厦, 它 帮 助 五 矿 集 团 创 建 了 一 套 人 力 资 源 的 信 息 标 准, 形 成 了 一

More information

MAX 10嵌入式乘法器用户指南

MAX 10嵌入式乘法器用户指南 UG-M10DSP 2017.02.21 订阅 反馈 内容 内容 1 MAX 10 嵌入式乘法器模块概述... 3 2 MAX 10 嵌入式乘法器特性和体系结构...5 2.1 嵌入式乘法器体系结构... 5 2.1.1 输入寄存器... 5 2.1.2 乘法器级 (Multiplier Stage)...6 2.1.3 输出寄存器... 6 2.2 嵌入式乘法器操作模式... 6 2.2.1 18-Bit

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

Quartus Prime 软件下载和安装快速入门指南 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, N

Quartus Prime 软件下载和安装快速入门指南 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, N 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words and logos are trademarks of Intel Corporation in

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

Intel® Arria® 10内核架构和通用I/O手册

Intel®  Arria® 10内核架构和通用I/O手册 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 1 Arria 10 器件中的逻辑阵列模块与自适应逻辑模块... 7 1.1 LAB... 7 1.1.1 MLAB... 8 1.1.2 本地和直链 (Direct Link) 互联... 9 1.1.3 共享算术链和进位链互联...10 1.1.4 LAB 控制信号... 11 1.1.5 ALM 资源... 12 1.1.6 ALM 输出...

More information

Intel® Cyclone® 10 GX内核架构和通用I/O手册

Intel®  Cyclone® 10 GX内核架构和通用I/O手册 Intel Cyclone 10 GX 内核架构和通用 I/O 手册 订阅 官网最新文档 :PDF HTML 内容 内容 1. Intel Cyclone 10 GX 器件中的逻辑阵列模块与自适应逻辑模块... 7 1.1. LAB... 7 1.1.1. MLAB... 8 1.1.2. 本地和直链 (Direct Link) 互联... 9 1.1.3. 共享算术链和进位链互联...10 1.1.4.

More information

DR2010.doc

DR2010.doc DR/2010 HACH 11-8-96-2 HACH. DR/2010, / UL E79852 CSA C22.223 LR 58275 VDE GS 1015-92 FCC"A" 15 : AMADOR CORP, HACH. EN50 011/CISPR 11 "B" (EMI)/89/336/EEC/EMC: AMADOR CORP, HACH.. EN50 082-1( )/89/226/EEC

More information

AL-MX200 Series

AL-MX200 Series PostScript Level3 Compatible NPD4760-00 TC Seiko Epson Corporation Seiko Epson Corporation ( ) Seiko Epson Corporation Seiko Epson Corporation Epson Seiko Epson Corporation Apple Bonjour ColorSync Macintosh

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

入學考試網上報名指南

入學考試網上報名指南 入 學 考 試 網 上 報 名 指 南 On-line Application Guide for Admission Examination 16/01/2015 University of Macau Table of Contents Table of Contents... 1 A. 新 申 請 網 上 登 記 帳 戶 /Register for New Account... 2 B. 填

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

Fun Time (1) What happens in memory? 1 i n t i ; 2 s h o r t j ; 3 double k ; 4 char c = a ; 5 i = 3; j = 2; 6 k = i j ; H.-T. Lin (NTU CSIE) Referenc

Fun Time (1) What happens in memory? 1 i n t i ; 2 s h o r t j ; 3 double k ; 4 char c = a ; 5 i = 3; j = 2; 6 k = i j ; H.-T. Lin (NTU CSIE) Referenc References (Section 5.2) Hsuan-Tien Lin Deptartment of CSIE, NTU OOP Class, March 15-16, 2010 H.-T. Lin (NTU CSIE) References OOP 03/15-16/2010 0 / 22 Fun Time (1) What happens in memory? 1 i n t i ; 2

More information

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone,

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, respectively, and has been certified by the developer

More information

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d WeChat Search Visual Identity Guidelines WEDESIGN 2018. 04 Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

V6800/V6600 3D

V6800/V6600 3D V6800/V6600 3D V6600/V6800 3D R 2000 2 3 4 5 R 6 7 8 The VIP (Video Interface Port) Connector are used for third party add-on modules, such as video capture cards or television tuners. DDR: Double Data

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

Achieving One TeraFLOPS with 28-nm FPGAs

Achieving One TeraFLOPS with 28-nm FPGAs 28nm FPGA TeraFLOPS WP011421.0 DSP 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera ALTERA ARRIA CYCLONE HARDCOPY MAX MEGACORE NIOS QUARTUS STRATIX Altera www.altera.com/common/legal.html

More information

CANVIO_AEROCAST_CS_EN.indd

CANVIO_AEROCAST_CS_EN.indd 简 体 中 文...2 English...4 SC5151-A0 简 体 中 文 步 骤 2: 了 解 您 的 CANVIO AeroCast CANVIO AeroCast 无 线 移 动 硬 盘 快 速 入 门 指 南 欢 迎 并 感 谢 您 选 择 TOSHIBA 产 品 有 关 您 的 TOSHIBA 产 品 的 详 情, 请 参 阅 包 含 更 多 信 息 的 用 户 手 册 () 安

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

台達化學工業股份有限公司九十年股東常會開會程序

台達化學工業股份有限公司九十年股東常會開會程序 股 票 代 碼 :1308 亞 洲 聚 合 股 份 有 限 公 司 一 五 年 股 東 常 會 議 事 手 冊 日 期 : 一 五 年 六 月 八 日 地 點 : 高 雄 市 鳥 松 區 圓 山 路 2 號 高 雄 圓 山 大 飯 店 5 樓 柏 壽 廳 目 錄 開 會 程 序 2 開 會 議 程 3 討 論 事 項 ( 一 ) 4 報 告 事 項 10 承 認 及 討 論 事 項 ( 二 ) 31

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Epson

Epson WH / MS CMP0087-00 TC WH/MS EPSON EPSON EXCEED YOUR VISION EXCEED YOUR VISION Seiko Corporation Microsoft and Windows are registered trademarks of Microsoft Corporation. Mac and Mac OS are registered trademarks

More information

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese)

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese) Saflex Saflex (PVB) / Saflex B Saflex PVB 96% Saflex PVB Saflex PVB Saflex Saflex PVB * RB47 367700 x x x x x RB47 377800 / x x x x x RB47 547800 x x x x x RB47 147800 x x x x x RB47 156100 x x x x RB47

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

SA-DK2-U3Rユーザーズマニュアル

SA-DK2-U3Rユーザーズマニュアル USB3.0 SA-DK2-U3R 2007.0 2 3 4 5 6 7 8 System Info. Manual Rebuild Delete RAID RAID Alarm Rebuild Rate Auto compare Temp Management Load Default Elapse time Event Log 0 2 3 4 2 3 4 ESC 5

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

2010 Japanese First Language Written examination

2010 Japanese First Language Written examination Victorian Certificate of Education 2010 SUPERVISOR TO ATTACH PROCESSING LABEL HERE STUDENT NUMBER Letter Figures Words JAPANESE FIRST LANGUAGE Written examination Monday 15 November 2010 Reading time:

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

目 錄

目      錄 1234 108 6 24 178 () ... 1... 2... 3... 4... 5... 6... 9... 12... 13... 14... 18... 39 26... 40... 43... 46... 73... 81... 85... 94... 96 108 6 249 178 ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) 26 ( ) ( ) ( ) (

More information

Microsoft Word - (web)_F.1_Notes_&_Application_Form(Chi)(non-SPCCPS)_16-17.doc

Microsoft Word - (web)_F.1_Notes_&_Application_Form(Chi)(non-SPCCPS)_16-17.doc 聖 保 羅 男 女 中 學 學 年 中 一 入 學 申 請 申 請 須 知 申 請 程 序 : 請 將 下 列 文 件 交 回 本 校 ( 麥 當 勞 道 33 號 ( 請 以 A4 紙 張 雙 面 影 印, 並 用 魚 尾 夾 夾 起 : 填 妥 申 請 表 並 貼 上 近 照 小 學 五 年 級 上 下 學 期 成 績 表 影 印 本 課 外 活 動 表 現 及 服 務 的 證 明 文 件 及

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

User’s Manual

User’s Manual V7 用 户 手 册 亿 图 为 您 专 业 图 表 设 计 提 供 最 佳 解 决 方 案 2004-2014 EdrawSoft. All right reserved. Edraw and Edraw logo are registered trademarks of EdrawSoft. 目 录 亿 图 怎 样 优 越 于 其 他 软 件... 5 亿 图 7 个 新 功 能... 6 为

More information

2015 Chinese FL Written examination

2015 Chinese FL Written examination Victorian Certificate of Education 2015 SUPERVISOR TO ATTACH PROCESSING LABEL HERE Letter STUDENT NUMBER CHINESE FIRST LANGUAGE Written examination Monday 16 November 2015 Reading time: 11.45 am to 12.00

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

B _02_ch.indd

B _02_ch.indd KDC-X8016BT KDC-X8016BTL KDC-X7016 KDC-X7016L B64-4521-10/02 (MW) 2 KDC-X8016BT/ KDC-X8016BTL/ KDC-X7016/ KDC-X7016L 3 2 > > 1 2 3 4 5 6 AUX 7 8 9 10 11 12 13 14 1 2 3 4 5 6 7 8 9 4 10 38 11 12 13 14 4

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線.

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線. AutoCAD 2016 新 功 能 預 覽 指 南 編 譯 版 本 :Y150327, 內 容 僅 供 學 習 參 考, 所 有 訊 息 請 以 官 方 資 訊 為 準, 更 多 資 訊 請 下 載 原 文 PDF 檔 或 觀 賞 Youtube 頻 道 的 示 範 影 片 Design every detail with Autodesk AutoCAD software, one of the

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

Microsoft Word - Functional_Notes_3.90_CN.doc

Microsoft Word - Functional_Notes_3.90_CN.doc GeO-iPlatform Functional Notes GeO Excel Version 3.90 Release Date: December 2008 Copyrights 2007-2008. iplatform Corporation. All rights reserved. No part of this manual may be reproduced in any form

More information

SDS 1.3

SDS 1.3 Applied Biosystems 7300 Real-Time PCR System (With RQ Study) SDS 1.3 I. ~ I. 1. : Dell GX280 2.8GHz with Dell 17 Flat monitor 256 MB RAM 40 GB hard drive DVD-RW drive Microsoft Windows XP Operating System

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

<4D6963726F736F667420576F7264202D20C8EDBCFEB9A4B3CCCFEEC4BFD0E8C7F3B5F7D1D0B1A8B8E6B7B6B1BE>

<4D6963726F736F667420576F7264202D20C8EDBCFEB9A4B3CCCFEEC4BFD0E8C7F3B5F7D1D0B1A8B8E6B7B6B1BE> 机 遇 创 造 发 展 科 技 造 就 成 功 软 件 工 程 项 目 需 求 调 研 报 告 ( 范 本 ) ( 调 研 时 间 :0000-00-00 至 0000-00-00) 深 圳 市 易 讯 诚 信 息 技 术 有 限 公 司 调 研 报 告 简 要 说 明 XXX 公 司 软 件 工 程 项 目 需 求 调 研 报 告 是 根 据 XXX 公 司 现 有 管 理 体 系 整 理 而 成,

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

A dissertation for Master s degree Metro Indoor Coverage Systems Analysis And Design Author s Name: Sheng Hailiang speciality: Supervisor:Prof.Li Hui,

A dissertation for Master s degree Metro Indoor Coverage Systems Analysis And Design Author s Name: Sheng Hailiang speciality: Supervisor:Prof.Li Hui, 中 国 科 学 技 术 大 学 工 程 硕 士 学 位 论 文 地 铁 内 移 动 通 信 室 内 覆 盖 分 析 及 应 用 作 者 姓 名 : 学 科 专 业 : 盛 海 亮 电 子 与 通 信 导 师 姓 名 : 李 辉 副 教 授 赵 红 媛 高 工 完 成 时 间 : 二 八 年 三 月 十 日 University of Science and Technology of Ch A dissertation

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

自由軟體教學平台

自由軟體教學平台 NCHC Opensource task force Steven Shiau steven@nchc.gov.tw National Center for High-Performance Computing Sep 10, 2002 1 Outline 1. 2. 3. Service DHCP, TFTP, NFS, NIS 4. 5. 2 DRBL (diskless remote boot

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

2

2 40 2 3 4 5 ^ ^ 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 PLEASE AFFIX STAMP HERE Diabetes Hongkong Unit 1802, 18/F., Tung Hip Commercial Bldg., 244-252 Des Voeux Rd C, HK. Diabetes Hongkong membership

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information