1. 硬件介绍 STM32 开发板 Open429I-C 是一块以 STM32F429IGT6 为主控芯片的开发板, 它带有丰富的扩展接口, 支持各类外围模块的接入 玩转你的 STM32 变形金刚, 召集你的 Cortex-M0 / M3 / M4 战队, 建立你的嵌入式战线, 缔造你的电子王国!

Size: px
Start display at page:

Download "1. 硬件介绍 STM32 开发板 Open429I-C 是一块以 STM32F429IGT6 为主控芯片的开发板, 它带有丰富的扩展接口, 支持各类外围模块的接入 玩转你的 STM32 变形金刚, 召集你的 Cortex-M0 / M3 / M4 战队, 建立你的嵌入式战线, 缔造你的电子王国!"

Transcription

1 Open429I-C 用户手册 目录 1. 硬件介绍 底板资源简介 核心板资源简介 例程分析 ADC+DMA CAN1 TO CAN2-NORMAL DAC DS18B GPIO_LED_KEY I2C I2S_UDA LCD_4.3INCH LCD_7INCH NANDFLASH_SCB OV SAI SD_FATFS SDIO SPI STEMWIN_RTOS TOUCH_RES_LCD TOUCH_RES_LCD TOUCH_CAP_LCD ucos II USART USB FS USB FS Examples (USB_Device_Examples-HID) USB FS Examples (USB_Device_Examples-MSC) USB FS Examples (USB_Device_Examples-VCP) USB FS Examples (USB_Host_Device_Examples-DRD) USB FS Examples (USB_Host_Examples-HID) USB FS Examples (USB_Host_Examples-MSC) USB HS USB HS Examples (USB_Device_Examples-HID) USB HS Examples (USB_Device_Examples-MSC) USB HS Examples (USB_Host_Examples-MSC) ETH EXAMPLES

2 1. 硬件介绍 STM32 开发板 Open429I-C 是一块以 STM32F429IGT6 为主控芯片的开发板, 它带有丰富的扩展接口, 支持各类外围模块的接入 玩转你的 STM32 变形金刚, 召集你的 Cortex-M0 / M3 / M4 战队, 建立你的嵌入式战线, 缔造你的电子王国! 从这里开始! 市面上大部分开发板的局限性在于它们不具开放性, 不够模块化, 因而限制了其移植性, 扩展性, 限制了其应用 实际上, 硬件开发系统可以学习软件工程的设计理念 开放化, 模块化, 接口化 以便让它具备 可移植, 易扩展 等优越性, 以便让它能像软件一样, 一次设计, 到处使用 1.1. 底板资源简介 2

3 [ 核心接口简介 ] [ 其它接口简介 ] 1. 核心板插槽 : 方便接入核心板 2. USART3 接口 : 方便接入 RS232 RS485 USB TO 232 模块等 3. DCMI 接口 : 方便接入摄像头模块 4. 电容触摸屏接口 : 方便接入电容触摸屏 5. CAN2 接口 : 方便接入 CAN 模块 6. CAN1 接口 : 方便接入 CAN 模块 7. SDIO 接口 : 方便接入 Micro SD 模块,SDIO 接口读写 SD 卡的速度相比 SPI 接口快的多 8. ULPI 接口 : 方便接入高速 USB 模块等 (STM32F429I 没有内置 USB HS PHY) 9. LCD 接口 1: 方便接入 7inch LCD WIRE 接口 : 方便接入 1-WIRE 器件 (TO-92 封装 ), 如温度传感器 DS18B20 电子注册码 DS2401 等 11. LCD 接口 2: 方便接入 4.3inch LCD 12. I2C2 / I2C3 接口 : 方便接入 I2C 模块, 如 I/O 扩展芯片 PCF8574 EEPROM AT24CXX 模块等 13. SAI1 接口 : 方便接入音频模块, 如 UDA1380 模块等 14. Ethernet 接口 : 方便接入 Ethernet 模块 15. USB 接口 : 经过板载 PL2303 USB TO UART 芯片的转换, 转为 UART 16. SPI1 / SPI2 接口 : 方便接入 SPI 模块, 如 FLASH AT45DBXX SD 卡 MP3 模块等 方便接入 AD DA 模块, 因为 SPI1 复用了 AD DA 功能 17. I2S2 / I2S3 / I2C1 接口 : 方便接入 I2S 模块, 19. 5V DC 接口 20. 5V 与 3.3V 电源输入输出接口 : 常用于对外供电, 或与用户板进行共地处理 21. MCU 引脚接口 : 引出所有 I/O, 方便与外设进行 I/O 连接 [ 芯片简介 ] 22. PL2303:USB 转串口芯片 [ 器件简介 ] 23. 用户 LED: 便于 I/O 输出测试或显示程序运行状态 24. PL2303 TX-LED / RX-LED MHZ 晶振 26. 摇杆 : 上 下 左 右 按下, 共 5 个状态 27. WAKE UP 按键 : 可用作普通按键, 也可将 STM32 从睡眠中唤醒 [ 跳线说明 ] 28. USB TO UART 跳线 29. 用户 LED 跳线 : 短接跳线, 接入到示例程序指定的 I/O; 断开跳线, 可改为使用连接线接入自定义的 I/O 30. 用户按键 / 五向摇杆跳线 : 短接跳线, 接入到示例程序指定的 I/O; 断开跳线, 可改为使用连接线接入自定义的 I/O 如音频模块等 18. 8BIT FMC 接口 : 方便接入 NandFlash 模块等 3

4 1.2. 核心板资源简介 [ 芯片简介 ] 1. STM32F429IGT6 内核 :Cortex-M4 32-bit RISC; 特性 : 单周期 DSP 指令 ; 工作频率 :180MHz,225 DMIPS/1.25 DMIPS/MHz; 工作电压 :1.8V-3.6V; 封装 :LQFP176; 存储资源 :1024kB Flash,256+4kB SRAM; 资源 :6 x SPI,4 x USART,4 x UART,2 x I2S,1 x SAI,3 x I2C; 1 x FMC,1 x SDIO,2 x CAN; 1 xlcd-tft; 1 x USB 2.0 FS/HS 控制器 ( 带有专用 DMA); 1 x USB HS ULPI( 用于外接 USB HS PHY); 1 x 10/100 Ethernet MAC; 1 x 8 to 14-bit 摄像头接口 ; 3 x AD(12 位,1us, 分时 24 道 ),2 x DA(12 位 ); 调试下载 : 支持 JTAG/SWD 接口的调试下载, 支持 IAP 4

5 2. IS42S16400J 1 Meg Bits x 16 Bits x 4 Banks (64-MBIT) 的 SDRAM 3. AMS V 稳压器件 4. MIC2075 USB 电源管理器件 [ 其它器件简介 ] 5. "5Vin" 或 "USB" 供电选择开关切换到上面, 选择 USB 供电 ; 切换到下面, 选择 5Vin 供电 6. BOOT 状态设置开关可设置 BOOT0 的状态 (BOOT1 极少需要被使用, 可通过配套的连接线修改其状态 ) 7. 电源 LED 8. VBUS LED 9. 复位按键 10. 8M 晶振 K 晶振可供内置 RTC 使用, 或用以校准 [ 接口简介 ] [ 跳线 / 开关说明 ] 12. JTAG/SWD 接口支持下载与调试 13. USB 接口作为 Device: 通过连接线, 与计算机进行 USB 通信 作为 Host: 通过转接线,U 盘等 USB 设备 14. MCU 引脚接口引出 VCC GND 及所有 I/O, 方便与外设进行连接 15. 5Vin 输入接口当 USB 作为 HOST/OTG 时, 需要输入 5V 电源 16. USB OTG/HOST 跳线短接跳线 : 使用 USB OTG/HOST 时跳上 断开跳线 : 不影响 I/O 17. VBAT 选择跳线短接跳线 : 采用系统供电 ; 断开跳线 : 可将 VBAT 接入外部电源, 如电池 18. VREF 选择跳线短接跳线 :VREF+ 接入 VCC; 断开跳线 : 可自定义 VREF+ 5

6 2. 例程分析 KEIL MDK 版本 :4.7 或以上 下载器 :ST-link V2 下载方式 :JTAG/SWD 基于串口的例程都是使用串口助手 SSCOM3.2 来查看的, 板子自带 PL2303 串口 ;USB 线插 入 USB TO UART 接口 串口助手 SSCOM3.2 设置如下 : 波特率 数据位 8 停止位 1 校验位 None 流控制 None 注解 : 以下所有程序在下载完后按下复位键才会有实验现象 2.1. ADC+DMA 本程序实现 AD 采集和 DMA 传输功能 硬件连接 将 Analog Test Board 模块接入 SPI1(ADC+DAC) 接口 操作与现象转动电位器旋钮, 串口会打印读到的 AD 信息 : *************************************** The current AD1 value = 2.98V The current AD2 value = 1.87V *************************************** The current AD1 value = 2.86V The current AD2 value = 1.75V 2.2. CAN1 TO CAN2-NORMAL 6

7 CAN1 和 CAN2 通信测试 硬件连接 将两个 CAN 模块分别连接到板上的 CAN1 和 CAN2 接口 用杜邦线连接两个 CAN 模块 (CANL->CANL,CANH->CANH) 操作与现象串口输出如下信息 : SYSCLK: 168M HCLK: 168M PCLK1: 42M PCLK2: 84M CAN Printf Example: Press the USER key observations CAN2 Receive Data CAN2 ID 123 CAN2_DATA0 34 CAN2_DATA1 a2 CAN2_DATA2 d8 CAN2_DATA3 42 CAN2_DATA4 a1 CAN2_DATA5 44 CAN2_DATA6 a4 7

8 CAN2_DATA7 69 CAN1 Receive Data Can1 ID DAC 本程序实现了 DA 输出实验, 并通过 DMA 通道传输 硬件连接 将 Analog Test Board 模块接入 SPI1(ADC+DAC) 接口 Analog Test Board 模块上的 5V 接到板子上的 5V 上 操作与现象 Analog Test Board 模块会发出声音 8

9 2.4. DS18B20 温度检测程序 硬件连接将 DS18B20 接到 OneWire 接口上 操作与现象串口输出如下信息 : SYSCLK: 168M HCLK: 168M PCLK1: 42M PCLK2: 84M DS18B20 Example DS18B20 s ID: 0x28 0x76 0xfe 0x49 0x5 0x0 0x0 0x76 Temperature: 0.8 Temperature: 85.0 Temperature: 28.0 Temperature: GPIO_LED_KEY IO 的输入输出实验 硬件连接将 LED 独立按键, 五项摇杆按键的跳线 (JOYSTICK JMP) 接好 操作与现象按下按键会改变 LED 的状态 2.6. I2C 通过 I2C 协议读写 E2PROM 上的数据 硬件连接 9

10 将 AT24/FM24 Board 模块接到 I2C 口上 如果软件中使能 I2C1, 模块就接到 I2C1 接口上 如果软件中使能 I2C2, 模块就接到 I2C2 接口上 软件设置 模块接到 I2C1 接口上 #define Open_I2C1 //#define Open_I2C2 模块接到 I2C2 接口上 //#define Open_I2C1 #define Open_I2C2 操作与现象串口助手会打印如下信息 : *********I2C Example***************** SYSCLK: 180M HCLK: 180M PCLK1: 45M PCLK2: 90M EEPROM 24C02 Write Test EEPROM 24C02 Write Test OK EEPROM 24C02 Write Test EEPROM 24C02 Write Test OK 2.7. I2S_UDA1380 通过 I2S 协议驱动 UDA1380 Baord 播放音乐 硬件连接 10

11 将 UDA1380 Baord 模块接到 I2S 接口 把耳机接到 UDA1380 Baord 上的 LINEOUT 接口 实验与现象点击 RESET 按键, 此时可以听到有音乐输出 串口打印出如下信息 : SYSCLK: 180M HCLK: 180M PCLK1: 45M PCLK2: 90M Welcome to use UDA1380 I2S test: WaveDataLength: Started to Transmission data. UDA1380 Init OK! AudioRemSize: AudioRemSize: AudioRemSize: AudioRemSize: AudioRemSize: AudioRemSize: AudioRemSize: 0 AudioRemSize: 0 Data transmission to complete Started to Transmission data 2.8. LCD_4.3INCH 4.3inch LCD 分别率是 272 x480; 自带触摸控制芯片 本例程是 LCD 显示字符例程 硬件连接 11

12 将 4.3inch 480x272 Touch LCD (B) 模块插到 LCD 接口上 实验现象 LCD 上显示信息 : 2.9. LCD_7INCH 本例程可接 7inch Capacitive Touch LCD( 分别率 800x480) 显示字符 也可以连接 7inch Resistive Touch LCD, 显示结果一样 硬件连接 12

13 接入 7inch Capacitive Touch LCD 时, 需要分别通过 40PIN 的 FFC 线连接 LCD 接口 1, 以及通过 4PIN 的 FFC 线连接电容触摸屏接口 接入 7inch Resistive Touch LCD 时, 通过 40PIN 的 FFC 线连接 LCD 接口 1 即可 上述接口具体所指请参见 1.1 底板资源简介 实验现象 LCD 上显示字符信息 NANDFLASH_SCB0 通过 FMC 读写 Nandflash 硬件连接 将 NandFlash Board 模块接到 8BIT FMC 口上 13

14 实验现象串口上显示如下信息 : SYSCLK: 180M HCLK: 180M PCLK1: 45M PCLK2: 90M Welcome to use NAND FLASH modules ********************************************************* Nand Flash ID = EC, F1, 00, 95 Type = K9F1G08U0B Written to the number of: a b c d e f OV2640 板子通过以太网和 PC 通信 硬件连接 将 OV2640 Camera Board 模块接入 DCMI 接口 打开软件 OV2640-test-Tool.7z 操作与现象按下 WAKE UP 键, 软件捕获图像如下 : 14

15 2.12. SAI 通过 SAI 接口驱动 UDA1380 Baord 播放音乐 硬件连接 将 UDA1380 Baord 模块接到 SAI1 接口上 把耳机接到 UDA1380 Baord 上的 LINEOUT 接口上 实验与现象点击 RESET 按键, 此时可以听到有音乐输出 SD_FATFS 对 SD 卡的进行读取信息 ;SD 卡是 FAT 文件系统 15

16 硬件连接 将 Micro SD Storage Board 模块接到 SDIO 接口上 将 SD 卡接到 Micro SD Storage Board 插槽 操作与现象串口打印出如下信息 : SYSCLK: 180M HCLK: 180M PCLK1: 45M PCLK2: 90M SDIO Example: retarget the C library printf function to the SDIO 磁盘初始化 : 0 挂载磁盘 : 0 短文件名 : BOOTCODE.BIN 长文件名 : bootcode.bin 文件头 255 字节内容 : 短文件名 : CMDLINE.TXT 长文件名 : cmdline.txt 文件头 255 字节内容 : dwc_otg.lpm_enable=0 console=ttyama0, kgdboc=ttyama0, console=tty1 root=/dev/mmcblk0p2 rootfstype=ext4 elevator=deadline rootwait fbcon=map:1 fbcon=foot: ProFont6x11 短文件名 : CONFIG.TXT 长文件名 : config.txt 文件头 255 字节内容 : # uncomment if you get no picture on HDMI for a default safe SDIO 16

17 对 SD 卡的进行读写操作 硬件连接 将 Micro SD Storage Board 模块接到 SDIO 接口上 将 SD 卡接到 Micro SD Storage Board 插槽 操作与现象串口打印出如下信息 : USART Printf Example: retarget the C library printf function to the USART SYSCLK: 180M HCLK: 180M PCLK1: 45M PCLK2: 90M Warning: this program may erase all the TF card data. Make sure you have backed up. Press y to continue. 警告 : 接下来的操作能破坏您在 SD 卡上的数据, 请备份好 SD 卡内容后, 在按 y 继续操作! SD_Init Status:42 Initialize SD card successfully! SD_GetCardInfo Status: SPI 通过 SPI 接口驱动 AT45DBXX DataFlash Board 硬件连接 17

18 AT45DBXX DataFlash Boar 模块接到 SPI 口上 如果软件中使能 SPI1, 那么模块接到 SPI1 接口上 如果软件中使能 SPI4, 那么模块接到 SPI4 接口上 软件设置 模块接到 SPI1 接口上 #define Open_SPI1 //#define Open_SPI4 模块接到 SPI4 接口上 //#define Open_SPI1 #define Open_SPI4 操作与现象串口打印出如下信息 : SYSCLK: 180M HCLK: 180M PCLK1: 45M PCLK2: 90M Welcome to WaveShare STM32F4 series MCU Board Open429Z-D SPI is ready! AT45DBXX had been Init! AT45DBXX ID is 0x1f 0x24 0x0 0x0 FALSH AT45DBXX Write Test: STEMWIN_RTOS 基于 RTOS 系统的 STemwin 界面显示 硬件连接将 4.3inch 480x272 Touch LCD (B) 模块接到 LCD 接口 2 上 18

19 上述接口具体所指请参见 实验现象 LCD 上显示 GUI 界面 TOUCH_RES_LCD4.3 先触摸屏校准, 点击 3 次 [+] 即可完成触摸屏的校准, 之后就会进入触摸屏画板界面 在触摸屏画板中, 你可以在随意画线 硬件连接将 4.3inch 480x272 Touch LCD (B) 模块接到 LCD 接口 2 上 上述接口具体所指请参见 1.1 底板资源简介 实验现象您可以在 LCD 面板上画线 TOUCH_RES_LCD7 点击 Adjust 区域进行触摸屏校准, 点击 5 次 [+] 即可完成触摸屏的校准, 之后就会进入触摸屏画板界面 硬件连接 将 7inch Resistive Touch LCD 通过 40PIN 的 FFC 线接到 LCD 接口 1 上 上述接口具体所指请参见 1.1 底板资源简介 19

20 实验现象 LCD 上显示信息 : TOUCH_CAP_LCD7 LCD 显示手指触摸的位置, 可实现最多 5 点触控 硬件连接将 7inch Capacitive Touch LCD 通过 40PIN 的 FFC 线接到 LCD 接口上 用 4PIN 的 FFC 线连接板子 CAP_TOUCH 接口和 LCD 上的 4PIN 接口 20

21 实验现象 LCD 上显示信 :( 以下是五点触摸显示的效果 ): UCOS II 2.9 本程序演示基于 ucos II 的 LED 实验 21

22 操作与现象将 LED 独立按键, 五项摇杆按键的跳线 (JOYSTICK JMP) 接好 按下按键改变 LED 灯的状态 串口上显示相应信息 : SYSCLK: 180M HCLK: 180M PCLK1: 45M PCLK2: 90M KEY Center KEY D KEY B KEY A KEY C KEY Center USART 本程序演示串口通信功能 操作与现象通过 mini USB 线将 USB TO UART 接口连接到电脑 该接口默认连接到 USART1, 可通过 UART1 JMP 修改为其它 USART 接口 串口上显示相应信息 : USART Rrintf Example: retarget the C library printf function to the USART SYSCLK: 180M HCLK: 180M PCLK1: 45M PCLK2: 90M USB FS 进行 USB FS 实验需要把 OTG JMP 跳线接上, 且把 UART1 跳线 4 个跳线拔下 接上 LCD: 接 4.3 inch LCD 显示 4.3inch 480x272 Touch LCD (B) 模块接到 LCD 接口 ( 排母接口 ) 上在 stm32f429i_discovery_lcd.h 里 : #define inch_4 //#define inch_7 接 7 inch LCD 显示 7inch Capacitive Touch LCD 通过 40PIN 的 FFC 线接到 LCD 接口或者 7inch Resistive Touch LCD 通过 40PIN 的 FFC 线接到 LCD 接口在 stm32f429i_discovery_lcd.h 里 : //#define inch _4 22

23 #define inch_ USB FS EXAMPLES (USB_DEVICE_EXAMPLES-HID) FS USB 从机 HID 实验, 电脑将开发板识别为鼠标 硬件说明 通过 USB mini 接口接入电脑 操作与现象 查看设备管理器, 出现 USB 输入设备, 用摇杆按键可以控制光标的移动 USB FS EXAMPLES (USB_DEVICE_EXAMPLES-MSC) FS USB 从机 MSC 实验, 电脑将开发板识别为 U 盘 硬件说明 23

24 将 Micro SD Storage Board 模块接到 SDIO 接口上 将 SD 卡插入 Micro SD Storage Board 插槽 电脑 USB 线插入 Mini USB 操作与现象查看设备管理器, 出现 USB 大容量存储设备, 电脑中可以查看到移动磁盘 USB FS EXAMPLES (USB_DEVICE_EXAMPLES-VCP) FS USB 从机 VCP 实验, 电脑将开发板识别为虚拟串口 硬件说明 24

25 电脑 USB 线插入 Mini USB 操作与现象 查看设备管理器, 出现 STMicroelectronics Virtual COM Port USB FS EXAMPLES (USB_HOST_DEVICE_EXAMPLES-DRD) 烧录同一个程序, 开发板可以在主机和从机之间切换 硬件说明 操作与现象 通过摇杆来选择主机还是从机 主机的情况 : 25

26 OTG 线一端接 U 盘 (U 盘放入 BMP 图片 ), 另外一端接到板子上的 USB 接口 从机的情况 : 将 Micro SD Storage Board 模块接到 SDIO 接口上 将 SD 卡接到 Micro SD Storage Board 插槽 电脑 USB 线插入 Mini USB 查看设备管理器, 出现 USB 大容量存储设备, 电脑中可以查看到移动磁盘 : USB FS EXAMPLES (USB_HOST_EXAMPLES-HID) FS USB 主机实验, 开发板可以识别和使用鼠标 硬件说明 26

27 OTG 线一端接鼠标, 另外一端接到板子上的 USB 接口 操作与现象 移动鼠标,LCD 上的绿色点会跟着移动 USB FS EXAMPLES (USB_HOST_EXAMPLES-MSC) FS USB 主机实验, 开发板可以识别和读取 U 盘 硬件说明 OTG 先一端接 U 盘 (U 盘放入 BMP 图片 ); 另外一端接到板子上的 USB 接口 操作与现象 27

28 LCD 会列出文件名 ; 并显示 bmp 格式图片 USB HS USB HS EXAMPLES (USB_DEVICE_EXAMPLES-HID) HS USB 例程的主机实验, 包括 U 盘和鼠标 硬件说明 USB3300 模块插入 ULPI 接口电脑 USB 线插入 USB3300 模块的 Mini USB 操作与现象按下摇杆可以控制电脑鼠标 USB HS EXAMPLES (USB_DEVICE_EXAMPLES-MSC) HS USB 例程的从机实验 ; 包括 U 盘 鼠标 ; 硬件说明 USB3300 模块插入 ULPI 接口将 Micro SD Storage Board 模块接到 SDIO 接口上 将 SD 卡接到 Micro SD Storage Board 插槽 电脑 USB 线插入 USB3300 模块的 Mini USB 操作与现象查看设备管理器, 出现 USB 大容量存储设备, 电脑中可以查看到移动磁盘 28

29 查看设备管理器, 出现 STMicroelectronics Virtual COM Port 短接开发板上的 UART3 的 TX 和 RX 打开串口查看软件, 软件发送数据之后可以接收到同样的数据 USB HS EXAMPLES (USB_HOST_EXAMPLES-MSC) HS USB 例程的主机实验 ; 包括 U 盘 鼠标 ; 硬件说明 USB3300 模块插入 ULPI 接口 U 盘插入 USB3300 模块 USB 接口 操作与现象 串口上显示 U 盘信息, 按下 User 按键会显示 U 盘里文件名称 ETH EXAMPLES 开发板和电脑进行网口的连接例程 ETH 例程需要把 ETH\Tool\PC_Software 下的 echotool.exe 拷贝到 C 盘根目录下 硬件说明 网线接入 ETH 接口, 另外一端连接电脑 电脑 IP 设置将 PC IP 和模块 IP 设置在同一网段 : 右击 网络 -> 属性 -> 点击 本地连接 -> 点击 属性 -> 找到 Internet 协议版本 4 (TCP/IP V4) 就会弹出如下对话框, 设置相应的 IP 地址, 子网掩码和默认网关就好了 : 29

30 操作与现象程序路径 : ETH\STM32F4x7_ETH_LwIP_V1.0.0\Project\Standalone\httpserver\MDK-ARM 在浏览器里输入网址 : 点击 LED control 可以对板子上的 LED 进行控制 30

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

1. 硬件介绍 1.1. 资源简介 1. LPC1788 ( 核心板 ) LPC1788FBD208 的核心资源参数 : 内核 :Cortex-M3 32-bit RISC; 工作频率 :120MHz Max; 工作电压 : V (3.3V typical); 封装 :LQFP208;I

1. 硬件介绍 1.1. 资源简介 1. LPC1788 ( 核心板 ) LPC1788FBD208 的核心资源参数 : 内核 :Cortex-M3 32-bit RISC; 工作频率 :120MHz Max; 工作电压 : V (3.3V typical); 封装 :LQFP208;I 目录 1. 硬件介绍... 2 1.1. 资源简介... 2 2. 例程分析... 4 2.1. AD... 5 2.2. CAN... 5 2.3. CRC... 6 2.4. DA... 7 2.5. DS18B20... 8 2.6. EMAC_EasyWeb... 8 2.7. EMC_NandFlash... 9 2.8. EMC_SDRAM... 10 2.9. I2Cx... 10 2.10.

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

1. 硬件介绍 1.1. 底板资源简介 [ 核心接口简介 ] [ 其它接口简介 ] 1. 核心板插槽方便接入核心板 2. SGPIO 接口方便连接摄像头模块等 3. USB 接口 1 USB1 FS 接口 4. USB 接口 2 USB0 HS 接口 5. USB 接口 3 经过板载 PL2303

1. 硬件介绍 1.1. 底板资源简介 [ 核心接口简介 ] [ 其它接口简介 ] 1. 核心板插槽方便接入核心板 2. SGPIO 接口方便连接摄像头模块等 3. USB 接口 1 USB1 FS 接口 4. USB 接口 2 USB0 HS 接口 5. USB 接口 3 经过板载 PL2303 目录 1. 硬件介绍... 2 1.1. 底板资源简介... 2 1.2. 核心板资源简介... 4 2. 例程分析... 5 2.1. AD... 5 2.2. Atimer_Wic... 6 2.3. CAN_Ex1_Two_CAN... 6 2.4. CortexM4... 7 2.5. DAC... 8 2.6. DS18B20... 8 2.7. EMAC_DP83848... 9 2.8.

More information

Open746I-C 用户手册 微雪电子 WAVESHARE ELECTRONICS Open7XXI-C 用户手册 目录 1. 硬件介绍 底板资源简介 核心板资源简介 例程分析 LED KEY... 7

Open746I-C 用户手册 微雪电子 WAVESHARE ELECTRONICS Open7XXI-C 用户手册 目录 1. 硬件介绍 底板资源简介 核心板资源简介 例程分析 LED KEY... 7 Open7XXI-C 用户手册 目录 1. 硬件介绍... 4 1.1. 底板资源简介... 4 1.2. 核心板资源简介... 6 2. 例程分析... 7 2.1. LED... 7 2.2. KEY... 7 2.3. Interrrupt... 7 2.4. TIM... 8 2.5. PWM... 8 2.6. USART... 8 2.6.1. USART_Printf... 8 2.6.2.

More information

目录 产品特点... 1 目录 硬件介绍 基本操作 下载程序 串口通信 示例程序分析 AD demo CAN CRC DA

目录 产品特点... 1 目录 硬件介绍 基本操作 下载程序 串口通信 示例程序分析 AD demo CAN CRC DA Open1788 用户手册 产品特点 LPC Cortex M3 开发板 Open1788 是一块以 LPC1788FBD208 为主控芯片的开发板, 它带有丰富的 扩展接口, 支持各类外围模块的接入 1 目录 产品特点... 1 目录... 2 1. 硬件介绍... 4 2. 基本操作... 7 2.1. 下载程序... 7 2.2. 串口通信... 10 3. 示例程序分析... 12 3.1.

More information

Open103Z 示例程序 ( 基于 HAL 库 ) 说明 Open103Z 示例程序 ( 基于 HAL 库 ) 说明 程序说明 关于 STM32CubeMx 通过 STM32CubeMX 生成的基于 HAL 库的程序源代码, 相较于经典库的代码而言, 更加合理和清晰 STM32CubeMX 配置界

Open103Z 示例程序 ( 基于 HAL 库 ) 说明 Open103Z 示例程序 ( 基于 HAL 库 ) 说明 程序说明 关于 STM32CubeMx 通过 STM32CubeMX 生成的基于 HAL 库的程序源代码, 相较于经典库的代码而言, 更加合理和清晰 STM32CubeMX 配置界 Open103Z 示例程序 ( 基于 HAL 库 ) 说明 程序说明 关于 STM32CubeMx 通过 STM32CubeMX 生成的基于 HAL 库的程序源代码, 相较于经典库的代码而言, 更加合理和清晰 STM32CubeMX 配置界面是图形化的, 参数设置相比于直接修改源代码更为直观 但是, 用户对所需资源进行配置之后, 经由 STM32CubeMX 生成的代码并不是直接可用, 还需要在 /*

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

MT5V4Borchure.cdr

MT5V4Borchure.cdr EasyView 觸控科技 引領未來 MT 500 Professional Human Machine Interface Touch Screen for Industrial Applications ISO 9001:000 Offline Simulator EasyBuilder Online Simulator MT500 Online Simulator Direct Online

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

. ISP 介面 rduino 的 ISP 介面 0. SPI / SPI 介面方便接入 SPI 模組, 如 FLSH TXX WQXX S 卡 MP 模組等方便接入 模組, 因為 SPI 複用了 功能. Ethernet 介面方便接入 Ethernet 模組. IS / IS / I 介面方便接入

. ISP 介面 rduino 的 ISP 介面 0. SPI / SPI 介面方便接入 SPI 模組, 如 FLSH TXX WQXX S 卡 MP 模組等方便接入 模組, 因為 SPI 複用了 功能. Ethernet 介面方便接入 Ethernet 模組. IS / IS / I 介面方便接入 底板資源簡介 [ 核心介面簡介 ] [ 其它介面簡介 ]. 核心板插槽方便接入核心板. rduino 介面便於接入各種 rduino 擴展板 (Shield). MI 介面方便接入攝像頭模組. IT FM 介面方便接入 NandFlash 模組等. ULPI 介面方便接入高速 US 模組等 (STMFI 沒有內置 US HS PHY). L 介面 方便接入 0.inch L,inch L. L 介面

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

GPS

GPS GVT800 用 户 手 册 版 本 管 理 表 版 本 修 改 人 更 改 内 容 日 期 备 注 V1.0 StevenLi 创 建 2014.06.23 初 始 版 本 GPS 多 功 能 车 载 定 位 跟 踪 器 用 户 手 册 型 号 :GVT800 1 目 录 1 产 品 概 述...2 2 安 全 须 知...3 3 规 格 参 数...3 4 开 始 使 用...4 4.1 标 准

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2 :Lenovo ET700 1 GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB2.0 624MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET700 2.5 QVGA ET700 2 3 Lenovo 800-810-8888 400-818-8818 http://www.lenovomobile.com

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft Word - A201004-1587.doc

Microsoft Word - A201004-1587.doc 1 基 于 μc/os-ii 的 嵌 入 式 电 子 潮 汐 预 报 仪 张 淑 娟, 李 海 森, 么 彬, 陈 宝 伟, 周 天 哈 尔 滨 工 程 大 学 水 声 技 术 国 防 科 技 重 点 实 验 室, 哈 尔 滨 (150001) E-mail: zhangshujuan@hrbeu.edu.cn 摘 要 : 本 文 涉 及 一 种 嵌 入 式 电 子 潮 汐 预 报 仪 的 开 发

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

E68 E68C 中性说明书 A6版

E68 E68C 中性说明书 A6版 GPS 行 车 记 录 仪 一 体 机 详 细 功 能 描 述 广 州 超 前 计 算 机 科 技 有 限 公 司 ( 版 本 :V1.0 修 改 时 间 2011-11-16) 目 录 一 产 品 外 形... 1 二 功 能 与 操 作... 1 1 行 驶 记 录 仪 功 能... 1 2 显 示 屏 菜 单 功 能... 5 3 接 线 图... 7 4 屏 操 作 功 能 说 明...

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

1abcd.doc

1abcd.doc 988 K VOL MD MACD Legend PALM365 FM365 1....1... 1... 2... 3... 4... 5 2....7... 7... 7... 7... 8...8...8... 9...10...12 3....15 PALM 365...15... 16 PALM365...19... 19... 22... 22... 23 PALM 365...25...

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

D21状元版使用手册

D21状元版使用手册 technology D31 Manual http://www.manuallib.com/file/2507010 From ManualLib.com ManualLib.com collects and classifies the global product instrunction manuals to help users access anytime and anywhere, helping

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

軟體的安裝

軟體的安裝 DMECOM DAR 8000 / 1600A 錄 音 系 統 使 用 說 明 書 Version1.0.0 目 錄 1 產 品 簡 介... 1 2 產 品 外 觀 功 能... 1 3 包 裝 內 容... 2 4 系 統 要 求... 2 5 錄 音 系 統 的 登 入... 2 6 AM2000 使 用 說 明... 7 6.1 AM2000 外 觀 於 主 機 DAR 8000 連 接 圖...

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

宏电文档

宏电文档 GPRS DDN 〇〇 1. GPRS (General Packet Radio Service) GSM GSM GPRS GSM TDMA (BSS) GPRS GPRS GPRS DDN GSM/GPRS SMS CSD USSD GPRS (DTU) (Machine To Machine M2M) GPRS DDN H7112 GPRS DTU (Tel): +86-755-83890580

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

DVK710扩展板

DVK710扩展板 DVK710 扩展板 用户手册 2014.06.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.06.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

逢 甲 大 學

逢 甲 大 學 Ultrasound radar system - i - - ii - The ultrasound radar system is on the basis of the Doppler Effect. In the incessant acoustic wave actuator, emitting to object. Some acoustic wave which impacted the

More information

CX_300 Manual.book

CX_300 Manual.book PC PC 45~80 80~320 260 LCD 1 ...1...3 /...3...3...4 /...4...5...5...6...6...7 SD...8...9 SD...9...10...12...16...18...19 DSC...20...23...23 Mini USB...23...24...25...25...26...27...28 2 / 1. 2. / LCD 3.

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

供热 2 版.indd

供热 2 版.indd 公 司 简 介 和 利 时 公 司 是 工 业 自 动 化 产 品 的 专 业 生 产 基 地 销 售 中 心 和 工 程 服 务 中 心, 致 力 于 工 业 自 动 化 领 域 发 展 已 近 20 年, 一 直 保 持 快 速 稳 健 的 发 展, 产 品 广 泛 应 用 在 电 力 化 工 石 化 市 政 建 材 冶 金 造 纸 制 药 环 保 城 市 轨 道 交 通 机 械 制 造 等 行

More information

8304

8304 H.264 嵌 入 式 数 字 硬 盘 录 像 机 H.264 Digital Network Security System 用 户 使 用 手 册 DVR-8304 VER:1.0 产 品 使 用 说 明 书 DVR-8304/8304V DVR-8304T 中 国 专 利 产 品 :(200730174963.4) 号 颜 色 与 外 观 均 以 实 物 为 准, 若 有 任 何 设 计 和

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

untitled

untitled \ \ \ DOP11B 06/2011 16929837 / ZH SEW-EURODRIVE Driving the world 1 5 1.1 5 1.2 5 1.3 6 1.4 6 1.5 6 1.6 6 1.7 6 2 7 2.1 7 2.2 7 2.3 8 2.4 8 2.5 8 2.6 9 2.7 / 11 2.8 11 2.9 11 2.10 11 2.11 12 3 (DOP11B-10

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

T6000_end

T6000_end T6000 学 生 电 脑 使 用 说 明 书 卷 首 语 感 谢 您 选 购 万 利 达 学 生 电 脑 为 了 您 能 方 便 并 充 分 地 了 解 和 使 用 本 机 的 功 能, 敬 请 仔 细 阅 读 本 手 册 阅 读 之 后 请 将 本 说 明 书 放 于 方 便 位 置, 以 供 日 后 参 考 所 有 重 要 资 料 请 另 作 备 份 以 免 因 操 作 不 当 维 修 靠 近

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6>

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6> WWW.ICPDAS.COM.CN 1 关 于 泓 格 泓 格 科 技 成 立 于 1993 年, 以 基 于 PC 的 数 据 采 集 卡 为 最 初 的 研 发 产 品 线,1998 年 我 们 认 为 嵌 入 式 控 制 器 极 具 未 来 性, 所 以 整 个 研 发 的 重 心 移 到 了 各 种 嵌 入 式 控 制 器 远 程 I/O 模 块 等 产 品 线 经 过 十 多 年 的 努

More information

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 网 络 摄 像 机 快 速 指 南 UD.6L0101B1266A01 版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 称 本 公 司 或 海 康 威

More information

SAPIDO GR-1733 無線寬頻分享器

SAPIDO GR-1733 無線寬頻分享器 1 版 權 聲 明... 4 產 品 保 固 說 明... 4 保 固 期 限... 4 維 修 辦 法... 5 服 務 條 款... 5 注 意 事 項... 6 低 功 率 電 波 輻 射 性 電 機 管 理 辦 法... 6 CE 標 誌 聲 明... 6 無 線 功 能 注 意 事 項... 6 1 產 品 特 點 介 紹... 7 1.1 LED 指 示 燈 功 能 說 明... 8 1.2

More information

DVK571扩展板

DVK571扩展板 DVK720 扩展板 用户手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

Visual Basic AD/DA Visual Basic 2

Visual Basic AD/DA Visual Basic 2 4900H238 4900H237 4900H208 1 Visual Basic AD/DA Visual Basic 2 PCI AD/DA Visual Basic Visual Basic 3 4 3 3 4 AD/DA ID AD/DA PCI AD/DA 15 Visual Basic 17 5 20 PID Visual Basic 26 31 Visual Basic-------------------------------------------------------------

More information

錄...1 說...2 說 說...5 六 率 POST PAY PREPAY DEPOSIT 更

錄...1 說...2 說 說...5 六 率 POST PAY PREPAY DEPOSIT 更 AX5000 Version 1.0 2006 年 9 錄...1 說...2 說...3...4 說...5 六...6 6.1 率...7 6.2 POST PAY...8 6.3 PREPAY DEPOSIT...9 6.4...10 6.5...11 更...12...12 LCD IC LED Flash 更 兩 RJ11 ( ) DC ON OFF ON 狀 狀 更 OFF 復 狀 說

More information

VioCard-300 user manual

VioCard-300 user manual VioGate VioCard-300 ( 2.0.0) 2005 2005 2 15 2 3 VioGate 绍... 6 1.1 产 简... 6 1.2 产... 6 1.3... 7 1.4 内... 7 1.5 导览... 8 VioGate... 10 2.1 VioGate 络 认...10 2.2 VioGate...11 软... 16 3.1 VioCard-300 盘...16

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

K 寸猫眼中文说明书

K 寸猫眼中文说明书 使 用 说 明 书 适 用 于 K-301 系 列 产 品 一 简 介 1 二 标 准 套 装 配 件 1 三 产 品 外 观 构 成 2 四 安 装 说 明 4 五 操 作 说 明 6 六 规 格 参 数 13 七 注 意 事 项 14 感 谢 您 购 买 智 能 防 盗 可 视 猫 眼 门 铃 系 列 产 品, 该 系 列 是 本 公 司 最 新 设 计 的 多 功 能 超 薄 悬 挂 式 智

More information

1500XA Daniel Danalyzer 1500XA Rosemount Analytical 1500XA P/N 3-9000-757 A 2010 5 ii 1500XA 1500XA iii iv 1500XA : 1-2 1500XA - 1500XA 1-3 1-4 1500XA 1500XA 1-5 1-6 1500XA 1500XA 1-7 1-8 1500XA

More information

AXIS P7224 Video Encoder Blade – Installation Guide

AXIS P7224 Video Encoder Blade – Installation Guide 安 装 指 南 AXIS P7224 刀 片 视 频 编 码 器 中 文 法 律 考 虑 事 项 视 频 和 音 频 监 视 可 能 会 受 法 律 限 制, 各 个 国 家 / 地 区 的 法 律 会 有 所 不 同 如 将 本 产 品 用 于 监 控 目 的, 需 要 先 检 查 是 否 符 合 你 所 在 区 域 内 的 法 律 规 定 本 产 品 包 括 四 个 (4) H.264 解 码

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

说明书库

说明书库 简 介 非 常 感 谢 您 选 择 使 用 智 能 手 机! 本 手 机 是 一 部 单 C 网 并 具 有 商 务 协 同 功 能 的 智 能 手 机! 该 话 机 除 支 持 基 本 的 语 音 通 话 短 信 等 功 能 之 外, 还 有 如 下 特 色 功 能 : 无 线 上 网, 酣 畅 高 速 通 过 CDMA 1X, 网 上 冲 浪, 无 所 不 通 商 务 协 同, 功 能 强 大

More information

Data Server_new_.doc

Data Server_new_.doc 0i B/C Data Server Windows 2000 Window XP Windows XP FTP FANUC Data Server FTP liwei@beijing-fanuc 1 06-10-8 Content 1. /...3 1.1...3 1.2...3 1.3 CNC...3 2....5 2.1 STORAGE...5 2.2 FTP...6 2.3 BUFFER...7

More information

DVK530/531扩展板

DVK530/531扩展板 DVK512 树莓派扩展版 用户手册 DVK512 是一款 Raspberry Pi A+/B+/2 代 B 的外扩板, 带有丰富的资源及扩展接口, 支持各类外围 模块的接入 特点 : 板载 USB TO UART, 方便进行串口调试 板载 4 个按键和 4 个 LED 板载 RTC 板载 LCD1602 接口 板载 UART SPI I2C 8I/Os 接口, 兼容 Waveshare 标准, 方便接入各种外围

More information

1 准备工作 1.1 TF 卡系统镜像的烧写 烧写我们提供的基于 TF 卡启动的 Angstrom 镜像 操作如下 : 1) 解压系统镜像 : 使用 7z920.exe 压缩工具解压相应的镜像文件 XXX.img.7z 注意 : XXX 是泛指各种镜像文件名称, 用户根据自己的扩展板模块选择相应的系

1 准备工作 1.1 TF 卡系统镜像的烧写 烧写我们提供的基于 TF 卡启动的 Angstrom 镜像 操作如下 : 1) 解压系统镜像 : 使用 7z920.exe 压缩工具解压相应的镜像文件 XXX.img.7z 注意 : XXX 是泛指各种镜像文件名称, 用户根据自己的扩展板模块选择相应的系 BB Black 扩展板 用户手册 版权声明 本手册所有权由深圳市有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 目录 BB Black 扩展板用户手册... 1 版权声明... 1 1 准备工作... 2 1.1 TF 卡系统镜像的烧写... 2 1.2 电脑端部署通信环境... 3 1.3 进入 Bash Shell

More information

(Microsoft Word - FSS MB9BF618S \306\300\271\300\260\345\323\303\273\247\312\326\262\341)

(Microsoft Word - FSS MB9BF618S \306\300\271\300\260\345\323\303\273\247\312\326\262\341) MCU-AN-510043-C-10 FM3 32- 位微处理器 MB9B610 系列 TM ARM and Cortex-M3 are the trademarks of ARM Limited in the EU and other countries. FSS MB9BF618S 评估板用户手册 应用指南 关于 FM3 微处理器的相关资料, 可访问如下网址 : HUhttp://www.fujitsu.com/global/services/microelectronics/product/micom/roadmap

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

VX 680 安装指南

VX 680 安装指南 VX 680 安 装 指 南 VeriFone 部 件 号 DOC268-003-SC-A, 修 订 版 A VX 680 安 装 指 南 2010 VeriFone, Inc. 保 留 所 有 权 利 未 经 VeriFone, Inc. 书 面 许 可, 不 得 以 任 何 方 式 复 制 或 传 播 本 文 档 的 任 何 内 容 本 文 档 所 包 含 的 信 息 如 有 更 改, 恕 不

More information

操作使用说明

操作使用说明 TWM Amazing p5 Lite 使 用 手 冊 - 1 - 目 錄 使 用 手 冊... - 1-1 安 全 事 項... - 4-1.1 注 意 事 項... - 4-1.2 合 理 使 用... - 4-2 快 速 指 南... - 4-2.1 電 池... - 4-2.2 開 機 和 關 機... - 4-2.3 開 啟 和 關 閉 螢 幕... - 5-2.4 觸 控 螢 幕 的 使

More information