<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63>

Size: px
Start display at page:

Download "<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63>"

Transcription

1 JLX12864G-330-PC 带字库 IC 的编程说明书 目 录 序号 内容标题 页码 1 概述 2 2 字型样张 : 3 3 外形尺寸及接口引脚功能 4~5 4 工作电路框图 5 5 指令 6~8 6 字库的调用方法 9~18 7 硬件设计及例程 : 19~ 尾页 电话 :

2 1. 概述 JLX12864G-330-PC 型液晶显示模块既可以当成普通的图像型液晶显示模块使用 ( 即显示普通图像型的单色图片功能 ), 又含有 JLX-GB2312 字库 IC, 可以从字库 IC 中读出内置的字库的点阵数据写入到 LCD 驱动 IC 中, 以达到显示汉字的目的 此字库 IC 存储内容如下表所述 : 电话 :

3 2. 字型样张 : 电话 :

4 3. 外形尺寸及接口引脚功能 3.1 外形图 : 图 1. 外形尺寸 电话 :

5 3.2 模块的接口引脚功能 引线号符号 名称 功能 1 ROM_IN 字库 IC 接口 SI 串行数据输入 详见字库 IC:JLX-GB2312 说明 2 ROM_OUT 字库 IC 接口 SO 串行数据输出 书 : ROM_IN 对应字库 IC 接口 3 ROM_SCK 字库 IC 接口 SCLK 串行时钟输入 SI, ROM_OUT 对应 SO, ROM_SCK 4 ROM_CS 字库 IC 接口 CS# 片选输入 对应 SCLK, ROM_CS 对应 CS# 5 LDEA 背光电源 背光电源正极 同 VDD 电压 (5V 或 3.3V) 6 VSS 接地 0V 7 VDD 电源电路 5V, 或 3.3V 可选 8 SCK I/0 串行时钟 9 SDA I/0 串行数据 10 RS 寄存选择信号 H: 数据存储器 0: 指令存储 (IC 资料上缩写为 A0 ) 11 RST 复位 低电平复位, 复位完成后, 回到高电平, 液晶模块开始工作 12 CS 片选 低电平片选 表 1: 模块串行接口引脚功能 4. 工作电路框图 : 见图 2, 模块由 LCD 驱动 IC ST7567 字库 IC 背光组成 电路框图 图 2:JLX12864G-330 图像点阵型液晶模块的电路框图 电话 :

6 5. 指令 : 5.1 字库 IC(JLX-GB2312) 指令表 Read Data Bytes( 一般读取 ): 电话 :

7 Read Data Bytes at Higher speed( 快速读取 ): 电话 :

8 5.2 LCD 驱动 IC 指令表详见 JLX12864G-330-PN 的中文说明书 电话 :

9 电话 :

10 电话 :

11 电话 :

12 电话 :

13 电话 :

14 电话 :

15 电话 :

16 6.4 附录 GB 区 (376 字符 ) 电话 :

17 电话 :

18 点国标扩展字符 电话 :

19 7. 硬件设计及例程 : 7.1 用户所编的显示程序, 开始必须进行初始化, 否则模块无法正常显示, 过程请参考程序 电话 :

20 7.1.1 硬件接口 : 下图为串行方式的硬件接口 : 电话 :

21 7.2 程序 : /* Test program for JLX12864G-330-PC-S, 串行接口, 带中文字库 IC 驱动 IC 是 :ST7567(or competible), 字库 IC:JLX-GB2312 晶联讯电子 : 网址 */ #include <reg51.h> #include <intrins.h> sbit lcd_sclk=p3^2; // 接口定义 :lcd_sclk 就是 LCD 的 sclk sbit lcd_sid=p3^1; sbit lcd_rs=p3^0; // 接口定义 :lcd_sid 就是 LCD 的 sid // 接口定义 :lcd_rs 就是 LCD 的 rs sbit lcd_reset=p1^0; // 接口定义 :lcd_reset 就是 LCD 的 reset sbit lcd_cs1=p1^1; // 接口定义 :lcd_cs1 就是 LCD 的 cs1 sbit Rom_IN=P1^2; // 字库 IC 接口定义 :Rom_IN 就是字库 IC 的 SI 电话 :

22 sbit Rom_OUT=P1^3; sbit Rom_SCK=P1^4; sbit Rom_CS=P1^5; // 字库 IC 接口定义 :Rom_OUT 就是字库 IC 的 SO // 字库 IC 接口定义 :Rom_SCK 就是字库 IC 的 SCK // 字库 IC 接口定义 Rom_CS 就是字库 IC 的 CS# sbit key=p2^0; // 定义一个按键 #define uchar unsigned char #define uint unsigned int #define ulong unsigned long uchar code bmp1[]; uchar code bmp2[]; uchar code bmp3[]; uchar code cheng1[]; uchar code gong1[]; uchar code zhuang1[]; uchar code tai1[]; uchar code shi1[]; uchar code yong1[]; void delay_us(int i); uchar code jiong1[]=/*-- 文字 : 囧 --*/ /*-- 宋体 12; 此字体下对应的点阵为 : 宽 x 高 =16x16 --*/ 0x00,0xFE,0x82,0x42,0xA2,0x9E,0x8A,0x82,0x86,0x8A,0xB2,0x62,0x02,0xFE,0x00,0x00, 0x00,0x7F,0x40,0x40,0x7F,0x40,0x40,0x40,0x40,0x40,0x7F,0x40,0x40,0x7F,0x00,0x00; uchar code lei1[]=/*-- 文字 : 畾 --*/ /*-- 宋体 12; 此字体下对应的点阵为 : 宽 x 高 =16x16 --*/ 0x80,0x80,0x80,0xBF,0xA5,0xA5,0xA5,0x3F,0xA5,0xA5,0xA5,0xBF,0x80,0x80,0x80,0x00, 0x7F,0x24,0x24,0x3F,0x24,0x24,0x7F,0x00,0x7F,0x24,0x24,0x3F,0x24,0x24,0x7F,0x00; /* 写指令到 LCD 模块 */ void transfer_command_lcd(int data1) char i; lcd_cs1=0; lcd_rs=0; for(i=0;i<8;i++) lcd_sclk=0; delay_us(1); if(data1&0x80) lcd_sid=1; 电话 :

23 else lcd_sid=0; lcd_sclk=1; delay_us(1); data1=data1<<=1; lcd_cs1=1; /* 写数据到 LCD 模块 */ void transfer_data_lcd(int data1) char i; lcd_cs1=0; lcd_rs=1; for(i=0;i<8;i++) lcd_sclk=0; if(data1&0x80) lcd_sid=1; else lcd_sid=0; lcd_sclk=1; data1=data1<<=1; lcd_cs1=1; /* 延时 */ void delay(int i) int j,k; for(j=0;j<i;j++) for(k=0;k<500;k++); /* 短延时 */ void delay_us(int i) int j,k; for(j=0;j<i;j++) for(k=0;k<2;k++); /* 等待一个按键, 我的主板是用 P2.0 与 GND 之间接一个按键 */ void waitkey() repeat: 电话 :

24 if (P2&0x01) goto repeat; else delay(60); if (P2&0x01) goto repeat; else delay(400); /*LCD 模块初始化 */ void initial_lcd() lcd_reset=0; /* 低电平复位 */ delay(20); lcd_reset=1; /* 复位完毕 */ delay(20); transfer_command_lcd(0xe2); /* 软复位 */ delay(5); transfer_command_lcd(0x2c); /* 升压步聚 1*/ delay(5); transfer_command_lcd(0x2e); /* 升压步聚 2*/ delay(5); transfer_command_lcd(0x2f); /* 升压步聚 3*/ delay(5); transfer_command_lcd(0x23); /* 粗调对比度, 可设置范围 0x20~0x27*/ transfer_command_lcd(0x81); /* 微调对比度 */ transfer_command_lcd(0x1b); /*0x1a, 微调对比度的值, 可设置范围 0x00~0x3f*/ transfer_command_lcd(0xa2); /*1/9 偏压比 (bias)*/ transfer_command_lcd(0xc8); /* 行扫描顺序 : 从上到下 */ transfer_command_lcd(0xa0); /* 列扫描顺序 : 从左到右 */ transfer_command_lcd(0x40); /* 起始行 : 第一行开始 */ transfer_command_lcd(0xaf); /* 开显示 */ void lcd_address(uint page,uint column) column=column-1; transfer_command_lcd(0xb0+page-1); /* 设置页地址 */ transfer_command_lcd(0x10+(column>>4&0x0f)); /* 设置列地址的高 4 位 */ transfer_command_lcd(column&0x0f); /* 设置列地址的低 4 位 */ /* 全屏清屏 */ void clear_screen() unsigned char i,j; for(i=0;i<9;i++) 电话 :

25 lcd_address(1+i,1); for(j=0;j<224;j++) transfer_data_lcd(0x00); lcd_cs1=1; //=== 显示测试画面 : 例如全显示, 隔行显示, 隔列显示, 雪花显示 ===== void test_display(uchar data1,uchar data2) int i,j; for(j=0;j<8;j++) lcd_address(j+1,1); for(i=0;i<128;i++) transfer_data_lcd(data1); transfer_data_lcd(data2); /* 显示 128x64 点阵图像 */ void display_128x64(uchar *dp) uint i,j; for(j=0;j<8;j++) lcd_address(j+1,1); for (i=0;i<128;i++) transfer_data_lcd(*dp); /* 写数据到 LCD, 每写完一个 8 位的数据后列地址自动加 1*/ dp++; /* 显示 32x32 点阵图像 汉字 生僻字或 32x32 点阵的其他图标 */ void display_graphic_32x32(uchar page,uchar column,uchar *dp) uchar i,j; for(j=0;j<4;j++) lcd_address(page+j,column); 电话 :

26 for (i=0;i<31;i++) transfer_data_lcd(*dp); /* 写数据到 LCD, 每写完一个 8 位的数据后列地址自动加 1*/ dp++; /* 显示 16x16 点阵图像 汉字 生僻字或 16x16 点阵的其他图标,reverse=1 反显 */ void display_graphic_16x16(uint page,uint column,uchar reverse,uchar *dp) uint i,j; for(j=0;j<2;j++) lcd_address(page+j,column); for (i=0;i<16;i++) if(reverse==1) transfer_data_lcd(~*dp); /* 写数据到 LCD, 每写完一个 8 位的数据后列地址自动加 1*/ else transfer_data_lcd(*dp); /* 写数据到 LCD, 每写完一个 8 位的数据后列地址自动加 1*/ dp++; /* 显示 8x16 点阵图像 ASCII, 或 8x16 点阵的自造字符 其他图标,reverse=1 反显 */ void display_graphic_8x16(uint page,uchar column,uchar reverse,uchar *dp) uint i,j; for(j=0;j<2;j++) lcd_address(page+j,column); for (i=0;i<8;i++) if(reverse==1) transfer_data_lcd(~*dp); /* 写数据到 LCD, 每写完一个 8 位的数据后列地址自动加 1*/ else transfer_data_lcd(*dp); /* 写数据到 LCD, 每写完一个 8 位的数据后列地址自动加 1*/ dp++; 电话 :

27 /* 显示 5*7 点阵图像 ASCII, 或 5x7 点阵的自造字符 其他图标 */ void display_graphic_5x7(uint page,uchar column,uchar reverse,uchar *dp) uint col_cnt; uchar page_address; uchar column_address_l,column_address_h; page_address = 0xb0+page-1; column_address_l =(column&0x0f)-1; column_address_h =((column>>4)&0x0f)+0x10; transfer_command_lcd(page_address); /*Set Page Address*/ transfer_command_lcd(column_address_h); /*Set MSB of column Address*/ transfer_command_lcd(column_address_l); /*Set LSB of column Address*/ for (col_cnt=0;col_cnt<6;col_cnt++) if(reverse==1) transfer_data_lcd(~*dp); /* 写数据到 LCD, 每写完一个 8 位的数据后列地址自动加 1*/ else transfer_data_lcd(*dp); /* 写数据到 LCD, 每写完一个 8 位的数据后列地址自动加 1*/ dp++; /**** 送指令到晶联讯字库 IC***/ void send_command_to_rom( uchar datu ) uchar i; for(i=0;i<8;i++ ) if(datu&0x80) Rom_IN = 1; else Rom_IN = 0; datu = datu<<1; Rom_SCK=0; Rom_SCK=1; /**** 从晶联讯字库 IC 中取汉字或字符数据 (1 个字节 )***/ static uchar get_data_from_rom( ) uchar i; uchar ret_data=0; Rom_SCK=1; for(i=0;i<8;i++) 电话 :

28 Rom_OUT=1; Rom_SCK=0; ret_data=ret_data<<1; if( Rom_OUT ) ret_data=ret_data+1; else ret_data=ret_data+0; Rom_SCK=1; return(ret_data); /* 从相关地址 (addrhigh: 地址高字节,addrMid: 地址中字节,addrLow: 地址低字节 ) 中连续读出 DataLen 个字节的数据到 pbuff 的地址 */ /* 连续读取 */ void get_n_bytes_data_from_rom(uchar addrhigh,uchar addrmid,uchar addrlow,uchar *pbuff,uchar DataLen ) uchar i; Rom_CS = 0; lcd_cs1=1; Rom_SCK=0; send_command_to_rom(0x03); send_command_to_rom(addrhigh); send_command_to_rom(addrmid); send_command_to_rom(addrlow); for(i = 0; i < DataLen; i++ ) *(pbuff+i) =get_data_from_rom(); Rom_CS = 1; /******************************************************************/ ulong fontaddr=0; void display_gb2312_string(uchar y,uchar x,uchar reverse,uchar *text) uchar i= 0; uchar addrhigh,addrmid,addrlow ; uchar fontbuf[32]; while((text[i]>0x00)) if(((text[i]>=0xb0) &&(text[i]<=0xf7))&&(text[i+1]>=0xa1)) /* 国标简体 (GB2312) 汉字在晶联讯字库 IC 中的地址由以下公式来计算 :*/ /*Address = ((MSB - 0xB0) * 94 + (LSB - 0xA1)+ 846)*32+ BaseAdd;BaseAdd=0*/ 电话 :

29 /* 由于担心 8 位单片机有乘法溢出问题, 所以分三部取地址 */ fontaddr = (text[i]- 0xb0)*94; fontaddr += (text[i+1]-0xa1)+846; fontaddr = (ulong)(fontaddr*32); addrhigh = (fontaddr&0xff0000)>>16; /* 地址的高 8 位, 共 24 位 */ addrmid = (fontaddr&0xff00)>>8; /* 地址的中 8 位, 共 24 位 */ addrlow = fontaddr&0xff; /* 地址的低 8 位, 共 24 位 */ get_n_bytes_data_from_rom(addrhigh,addrmid,addrlow,fontbuf,32 );/* 取 32 个字节的数据, 存到 "fontbuf[32]"*/ display_graphic_16x16(y,x,reverse,fontbuf);/* 显示汉字到 LCD 上,y 为页地址,x 为列地址,fontbuf[] 为数据 */ i+=2; x+=16; else if(((text[i]>=0xa1) &&(text[i]<=0xa3))&&(text[i+1]>=0xa1)) /* 国标简体 (GB2312)15x16 点的字符在晶联讯字库 IC 中的地址由以下公式来计算 :*/ /*Address = ((MSB - 0xa1) * 94 + (LSB - 0xA1))*32+ BaseAdd;BaseAdd=0*/ /* 由于担心 8 位单片机有乘法溢出问题, 所以分三部取地址 */ fontaddr = (text[i]- 0xa1)*94; fontaddr += (text[i+1]-0xa1); fontaddr = (ulong)(fontaddr*32); addrhigh = (fontaddr&0xff0000)>>16; /* 地址的高 8 位, 共 24 位 */ addrmid = (fontaddr&0xff00)>>8; /* 地址的中 8 位, 共 24 位 */ addrlow = fontaddr&0xff; /* 地址的低 8 位, 共 24 位 */ get_n_bytes_data_from_rom(addrhigh,addrmid,addrlow,fontbuf,32 );/* 取 32 个字节的数据, 存到 "fontbuf[32]"*/ display_graphic_16x16(y,x,reverse,fontbuf);/* 显示汉字到 LCD 上,y 为页地址,x 为列地址,fontbuf[] 为数据 */ i+=2; x+=16; else if((text[i]>=0x20) &&(text[i]<=0x7e)) unsigned char fontbuf[16]; fontaddr = (text[i]- 0x20); fontaddr = (unsigned long)(fontaddr*16); fontaddr = (unsigned long)(fontaddr+0x3cf80); addrhigh = (fontaddr&0xff0000)>>16; addrmid = (fontaddr&0xff00)>>8; addrlow = fontaddr&0xff; get_n_bytes_data_from_rom(addrhigh,addrmid,addrlow,fontbuf,16 );/* 取 16 个字节的数据, 存到 "fontbuf[32]"*/ display_graphic_8x16(y,x,reverse,fontbuf);/* 显示 8x16 的 ASCII 字到 LCD 上,y 为页地址,x 为列地址,fontbuf[] 为数据 */ i+=1; x+=8; else 电话 :

30 i++; void display_string_5x7(uchar y,uchar x,uchar *text) unsigned char i= 0; unsigned char addrhigh,addrmid,addrlow ; while((text[i]>0x00)) if((text[i]>=0x20) &&(text[i]<=0x7e)) unsigned char fontbuf[8]; fontaddr = (text[i]- 0x20); fontaddr = (unsigned long)(fontaddr*8); fontaddr = (unsigned long)(fontaddr+0x3bfc0); addrhigh = (fontaddr&0xff0000)>>16; addrmid = (fontaddr&0xff00)>>8; addrlow = fontaddr&0xff; get_n_bytes_data_from_rom(addrhigh,addrmid,addrlow,fontbuf,8);/* 取 8 个字节的数据, 存到 "fontbuf[32]"*/ display_graphic_5x7(y,x,0,fontbuf);/* 显示 5x7 的 ASCII 字到 LCD 上,y 为页地址,x 为列地址,fontbuf[] 为数据 */ i+=1; x+=6; else i++; //===============main program=================== void main(void) while(1) Rom_CS=1; lcd_cs1=0; initial_lcd(); clear_screen(); //clear all dots waitkey(); display_128x64(bmp1); clear_screen(); //clear all dots display_128x64(bmp2); waitkey(); 电话 :

31 clear_screen(); //clear all dots display_128x64(bmp3); waitkey(); clear_screen(); display_gb2312_string(1,1,0,"jlx12864g-330-pc"); /* 在第 1 页, 第 1 列, 显示一串 16x16 点阵汉字或 8x16 的 ASCII 字 */ display_gb2312_string(3,1,1,"16x16 简体汉字库,"); display_gb2312_string(5,1,0," 或 8X16 点阵 ASCII,"); /* 显示一串 16x16 点阵汉字或 8x16 的 ASCII 字. 以下雷同 */ display_gb2312_string(7,1,0," 或 5X7 点阵 ASCII 码 "); waitkey(); clear_screen(); display_gb2312_string(1,1,0,"abcdefghijklmnoprstuvwxyz"); /* 在第 1 页, 第 1 列, 显示一串 16x16 点阵汉字或 8*16 的 ASCII 字 */ display_string_5x7(3,1,"abcdefghijklmnopqrstu");/* 在第 3 页, 第 1 列, 显示一串 5x7 点阵的 ASCII 字 */ display_string_5x7(4,1,"jlx electronics Co., ");/* 显示一串 5x7 点阵的 ASCII 字 */ display_string_5x7(5,1,"ltd. established at ");/* 显示一串 5x7 点阵的 ASCII 字 */ display_string_5x7(6,1,"year 2004.Focus LCM. ");/* 显示一串 5x7 点阵的 ASCII 字 */ display_string_5x7(7,1,"tel:tel: ");/* 显示一串 5x7 点阵的 ASCII 字 */ display_string_5x7(8,1,"fax: ");/* 显示一串 5x7 点阵的 ASCII 字 */ waitkey(); clear_screen(); display_graphic_32x32(1,(1+32*1),cheng1); /* 在第 1 页, 第 49 列显示单个汉字 " 成 "*/ display_graphic_32x32(1,(1+32*2),gong1); /* 在第 1 页, 第 49 列显示单个汉字 " 功 "*/ display_graphic_16x16(6,1,1,zhuang1); /* 在第 5 页, 第 1 列显示单个汉字 " 状 "*/ display_graphic_16x16(6,(1+16),1,tai1); /* 在第 5 页, 第 17 列显示单个汉字 " 态 "*/ display_gb2312_string(6,(1+16*2),0,":"); display_graphic_16x16(6,(1+16*2+8),0,shi1); /* 在第 5 页, 第 41 列显示单个汉字 " 使 "*/ display_graphic_16x16(6,(1+16*3+8),0,yong1); /* 在第 5 页, 第 49 列显示单个汉字 " 用 "*/ display_gb2312_string(6,(1+16*4+8*2),0,"12:45"); waitkey(); clear_screen(); test_display(0xff,0xff); waitkey(); clear_screen(); test_display(0x55,0xaa); waitkey(); clear_screen(); test_display(0xaa,0x55); waitkey(); uchar code bmp1[]= /*-- 调入了一幅图像 :D:\e\ 新开发部 \ 显示图案收藏 \ 晶联讯 LOGO 小图黑白 - 无外框.bmp --*/ /*-- 宽度 x 高度 =128x64 --*/ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80, 0x80,0x80,0x80,0x80,0xC0,0xC0,0xC0,0xC0,0xC0,0xC0,0xC0,0xC0,0xE0,0xE0,0xE0,0xE0, 0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xC0,0xC0,0xC0, 0xC0,0xC0,0xC0,0xC0,0xC0,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x00, 电话 :

32 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x04,0x04,0x04,0x02,0x02,0x02,0x02,0x03,0x03,0x03,0x01,0x01, 0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01, 0x01,0x01,0x01,0x01,0x03,0x03,0x03,0x03,0x03,0x03,0x07,0x07,0x07,0x07,0x0F,0x0F, 0x0F,0x0F,0x1F,0x1F,0x1F,0x1F,0x3F,0x3F,0x7F,0x7F,0x7F,0xFF,0xFF,0xFF,0xFE,0xFE, 0xFE,0xFC,0xFC,0xFC,0xF8,0xF8,0xF8,0xF0,0xF0,0xE0,0xE0,0xE0,0xC0,0xC0,0x80,0x80, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xF0,0xF0,0xF0,0xF0,0xF0, 0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x01,0x03, 0x03,0x07,0x0F,0x0F,0x1F,0x1F,0x3F,0x7F,0x7F,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF, 0xFF,0xFF,0xFE,0xFE,0xFC,0xF8,0xF0,0xF0,0xE0,0xE0,0xC0,0x80,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0xE0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0, 0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0xF0,0x70,0x30,0x10,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0xFF,0xFF,0xFF, 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x01,0x03,0x07,0x07,0x0F, 0x1F,0x3F,0x3F,0x7F,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFE,0xFC, 0xF8,0xF8,0xF0,0xE0,0xF0,0xF8,0xFC,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF, 0xFF,0xFF,0x7F,0x3F,0x1F,0x0F,0x07,0x03,0x01,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0xFF,0xFF,0xFF, 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x03,0x03,0x07,0x0F,0x9F,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF, 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x9F,0x0F,0x07, 0x03,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0x00, 0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0xFF,0xFF,0xFF, 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x80,0xC0,0xE0,0xF8,0xFC,0xFE,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF, 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFE, 0xF8,0xF0,0xE0,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x0F,0x3F,0x7F,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFE, 0xFC,0xF8,0xF8,0xFC,0xFE,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x7F,0x3F,0x03, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0xFF,0xFF,0xFF, 电话 :

33 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0xFC, 0xFC,0xFC,0xFC,0xFC,0xFC,0xFC,0x00,0x00,0x00,0x00,0x00,0x80,0xC0,0xE0,0xF0,0xF8, 0xFE,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x7F,0x3F,0x0F, 0x07,0x03,0x00,0x01,0x03,0x07,0x1F,0x3F,0x7F,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF, 0xFF,0xFF,0xFF,0xFF,0xFF,0xFE,0xF8,0xF0,0xE0,0x80,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x03,0x03,0x07,0x07,0x07,0x07, 0x0F,0x0F,0x0F,0x0F,0x0F,0x07,0x07,0x07,0x07,0x03,0x03,0x01,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x07,0x07,0x07,0x07,0x07, 0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07, 0x07,0x07,0x07,0x07,0x07,0x07,0x00,0x00,0x04,0x06,0x07,0x07,0x07,0x07,0x07,0x07, 0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x01,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x07,0x07,0x07,0x07,0x07,0x07, 0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x04,0x00,0x00,0x00,0x00, ; uchar code bmp2[]= /*-- 调入了一幅图像 :E:\work\ 图片收藏夹 \ 黑白屏图片 \JLX12864G-330.bmp --*/ /*-- 宽度 x 高度 =128x64 --*/ 0x10,0x61,0x06,0xE0,0x00,0x26,0x22,0x1A,0x02,0xC2,0x0A,0x12,0x32,0x06,0x02,0x00, 0x10,0x10,0x10,0xFE,0x10,0x10,0xFE,0x00,0x00,0xFC,0x00,0x00,0x00,0xFE,0x00,0x00, 0x04,0x04,0x04,0xE4,0x24,0x24,0x25,0xFE,0x24,0x24,0x24,0x24,0xE4,0x04,0x04,0x00, 0x00,0x00,0x00,0x00,0x7E,0x2A,0x2A,0x2A,0x2A,0x2A,0x2A,0x7E,0x00,0x00,0x00,0x00, 0x02,0xFE,0x92,0x92,0x92,0xFE,0x12,0x11,0x12,0x1C,0xF0,0x18,0x17,0x12,0x10,0x00, 0x20,0x21,0x2E,0xE4,0x00,0x42,0x42,0xFE,0x42,0x42,0x42,0x02,0xFE,0x00,0x00,0x00, 0x00,0x00,0xF8,0x48,0x48,0x48,0x48,0xFF,0x48,0x48,0x48,0x48,0xF8,0x00,0x00,0x00, 0x00,0x00,0x02,0x02,0x02,0x02,0x02,0xE2,0x12,0x0A,0x06,0x02,0x00,0x80,0x00,0x00, 0x04,0xFC,0x03,0x20,0x20,0x11,0x11,0x09,0x05,0xFF,0x05,0x09,0x19,0x31,0x10,0x00, 0x08,0x08,0x04,0x47,0x24,0x18,0x07,0x00,0x00,0x1F,0x00,0x00,0x00,0x7F,0x00,0x00, 0x00,0x00,0x00,0x3F,0x00,0x00,0x00,0xFF,0x00,0x00,0x10,0x20,0x1F,0x00,0x00,0x00, 0x00,0x7F,0x25,0x25,0x25,0x25,0x7F,0x00,0x00,0x7F,0x25,0x25,0x25,0x25,0x7F,0x00, 0x08,0x1F,0x08,0x08,0x04,0xFF,0x05,0x81,0x41,0x31,0x0F,0x11,0x21,0xC1,0x41,0x00, 0x00,0x00,0x00,0x7F,0x20,0x10,0x00,0x7F,0x00,0x00,0x00,0x00,0x3F,0x40,0x38,0x00, 0x00,0x00,0x0F,0x04,0x04,0x04,0x04,0x3F,0x44,0x44,0x44,0x44,0x4F,0x40,0x70,0x00, 0x01,0x01,0x01,0x01,0x01,0x41,0x81,0x7F,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x08,0x08,0xF8, 0x08,0x08,0x00,0x08,0xF8,0x08,0x00,0x00,0x00,0x00,0x00,0x08,0x18,0x68,0x80,0x80, 0x68,0x18,0x08,0x00,0x10,0x10,0xF8,0x00,0x00,0x00,0x00,0x00,0x70,0x08,0x08,0x08, 0x88,0x70,0x00,0x00,0x70,0x88,0x08,0x08,0x88,0x70,0x00,0x00,0xE0,0x10,0x88,0x88, 0x18,0x00,0x00,0x00,0x00,0xC0,0x20,0x10,0xF8,0x00,0x00,0xC0,0x30,0x08,0x08,0x08, 0x38,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x30,0x08,0x88,0x88, 0x48,0x30,0x00,0x00,0x30,0x08,0x88,0x88,0x48,0x30,0x00,0x00,0xE0,0x10,0x08,0x08, 0x10,0xE0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xC0,0x80,0x80,0x80,0x7F, 0x00,0x00,0x00,0x20,0x3F,0x20,0x20,0x20,0x20,0x30,0x00,0x20,0x30,0x2C,0x03,0x03, 0x2C,0x30,0x20,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,0x00,0x30,0x28,0x24,0x22, 0x21,0x30,0x00,0x00,0x1C,0x22,0x21,0x21,0x22,0x1C,0x00,0x00,0x0F,0x11,0x20,0x20, 电话 :

34 0x11,0x0E,0x00,0x00,0x07,0x04,0x24,0x24,0x3F,0x24,0x00,0x07,0x18,0x20,0x20,0x22, 0x1E,0x02,0x00,0x00,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x00,0x18,0x20,0x20,0x20, 0x11,0x0E,0x00,0x00,0x18,0x20,0x20,0x20,0x11,0x0E,0x00,0x00,0x0F,0x10,0x20,0x20, 0x10,0x0F,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0xE0,0x20,0x20,0x20,0x3F,0x24,0x24,0x24,0xF4,0x24,0x00, 0x00,0x00,0xFE,0x02,0x12,0x2A,0xC6,0x88,0xC8,0xB8,0x8F,0xE8,0x88,0x88,0x88,0x88, 0x00,0x00,0x00,0x00,0x00,0xC0,0xC0,0x00,0x00,0x00,0x00,0x10,0x10,0xF8,0x00,0x00, 0x00,0x00,0x00,0x70,0x08,0x08,0x08,0x88,0x70,0x00,0x00,0x70,0x88,0x08,0x08,0x88, 0x70,0x00,0x40,0x40,0x80,0xF0,0x80,0x40,0x40,0x00,0x00,0xE0,0x10,0x88,0x88,0x18, 0x00,0x00,0x00,0x00,0xC0,0x20,0x10,0xF8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x40,0x30,0x07,0x12,0x62,0x02,0x8A,0x12,0x62,0x02,0x0F,0x10,0x60, 0x00,0x00,0xFF,0x00,0x02,0x04,0x03,0x04,0x04,0x04,0x04,0x7F,0x04,0x04,0x04,0x04, 0x04,0x00,0x00,0x00,0x00,0x30,0x30,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20, 0x00,0x00,0x00,0x30,0x28,0x24,0x22,0x21,0x30,0x00,0x00,0x1C,0x22,0x21,0x21,0x22, 0x1C,0x00,0x02,0x02,0x01,0x0F,0x01,0x02,0x02,0x00,0x00,0x0F,0x11,0x20,0x20,0x11, 0x0E,0x00,0x00,0x07,0x04,0x24,0x24,0x3F,0x24,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x08,0x08,0x89,0x4E,0xAA,0x18,0x00,0xFE,0x02,0x02,0xFA,0x02,0x02,0xFE,0x00,0x00, 0x00,0xFE,0x02,0x02,0x12,0x22,0x22,0x42,0x82,0x62,0x1E,0x0A,0x02,0x02,0x00,0x00, 0x00,0x00,0x00,0xC0,0xC0,0x00,0x00,0x00,0x00,0x00,0xC0,0x20,0x10,0xF8,0x00,0x00, 0x00,0x30,0x08,0x88,0x88,0x48,0x30,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x10,0x10,0xF8,0x00,0x00,0x00,0x00,0x40,0x40,0x80,0xF0,0x80,0x40,0x40,0x00, 0x00,0x70,0x08,0x08,0x08,0x88,0x70,0x00,0x00,0xE0,0x10,0x88,0x88,0x18,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x38,0x08,0x08,0xC8,0x38,0x08,0x00, 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x00, 0x02,0x01,0x00,0xFF,0x00,0x87,0x42,0x21,0x18,0x06,0x01,0x3E,0x40,0x43,0x70,0x00, 0x00,0x3F,0x20,0x30,0x28,0x24,0x22,0x21,0x20,0x21,0x26,0x3C,0x28,0x20,0x00,0x00, 0x00,0x00,0x00,0x30,0x30,0x00,0x00,0x00,0x00,0x07,0x04,0x24,0x24,0x3F,0x24,0x00, 0x00,0x18,0x20,0x20,0x20,0x11,0x0E,0x00,0x00,0x30,0x30,0x00,0x00,0x00,0x00,0x00, 0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,0x02,0x02,0x01,0x0F,0x01,0x02,0x02,0x00, 0x00,0x30,0x28,0x24,0x22,0x21,0x30,0x00,0x00,0x0F,0x11,0x20,0x20,0x11,0x0E,0x00, 0x00,0x30,0x30,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x3F,0x00,0x00,0x00,0x00, 0x20,0x3F,0x20,0x00,0x3F,0x20,0x00,0x3F,0x20,0x3F,0x20,0x00,0x3F,0x20,0x00,0x3F, ; uchar code bmp3[]= /*-- 调入了一幅图像 :D:\e\ 新开发部 \ 显示图案收藏 \12864G-202 回字框.bmp --*/ /*-- 宽度 x 高度 =128x64 --*/ 0xFF,0x01,0x01,0x01,0x01,0xF9,0x09,0x09,0x09,0x09,0x89,0x89,0x89,0x89,0x89,0x89, 0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89, 0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89, 0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89, 0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89, 电话 :

35 0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89, 0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89,0x89, 0x89,0x89,0x89,0x89,0x89,0x89,0x09,0x09,0x09,0x09,0xF9,0x01,0x01,0x01,0x01,0xFF, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xF8, 0x08,0x08,0x08,0x08,0x08,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88, 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88, 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88, 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88, 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88, 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x08,0x08,0x08,0x08,0x08, 0xF8,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xF8,0x08,0x08,0x08,0x08,0x88, 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88, 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88, 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88, 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88, 0x88,0x08,0x08,0x08,0x08,0xF8,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0x00,0x00,0x00,0xF8,0x08,0x08,0x08,0x08,0xC8,0x48,0x48,0x48,0x48,0x48,0x48,0x48, 0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48, 0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x48, 0x48,0x48,0x48,0x48,0x48,0x48,0x48,0xC8,0x08,0x08,0x08,0x08,0xF8,0x00,0x00,0x00, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0x00,0x00,0x00,0x1F,0x10,0x10,0x10,0x10,0x13,0x12,0x12,0x12,0x12,0x12,0x12,0x12, 0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12, 0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x12, 0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x13,0x10,0x10,0x10,0x10,0x1F,0x00,0x00,0x00, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x1F,0x10,0x10,0x10,0x10,0x11, 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11, 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11, 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11, 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11, 0x11,0x10,0x10,0x10,0x10,0x1F,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x1F, 0x10,0x10,0x10,0x10,0x10,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11, 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11, 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11, 电话 :

36 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11, 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11, 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x10,0x10,0x10,0x10,0x10, 0x1F,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF, 0xFF,0x80,0x80,0x80,0x80,0x9F,0x90,0x90,0x90,0x90,0x91,0x91,0x91,0x91,0x91,0x91, 0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91, 0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91, 0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91, 0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91, 0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91, 0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91,0x91, 0x91,0x91,0x91,0x91,0x91,0x91,0x90,0x90,0x90,0x90,0x9F,0x80,0x80,0x80,0x80,0xFF ; uchar code cheng1[]= /*-- 文字 : 成 --*/ /*-- 宋体 23; 此字体下对应的点阵为 : 宽 x 高 =31x31 --*/ /*-- 高度不是 8 的倍数, 现调整为 : 宽度 x 高度 =32x32 --*/ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x0C, 0xFC,0xFC,0x88,0x00,0x00,0x1C,0x78,0xF0,0xE0,0x00,0x80,0x80,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0xFF,0xFF,0x83,0x83,0x83,0x83,0x83,0x83,0xC3,0xC3,0x03,0x1F, 0xFF,0xFF,0x83,0x03,0x03,0x03,0xC3,0xF3,0xF3,0x63,0x03,0x03,0x00,0x00,0x00,0x00, 0x00,0x00,0xFC,0xFF,0x3F,0x00,0x80,0x00,0x00,0x80,0xFF,0xFF,0x03,0x00,0x00,0x03, 0x9F,0xFF,0xF8,0xF8,0xBE,0x1F,0x07,0x01,0x00,0x00,0xE0,0x20,0x00,0x00,0x20,0x38, 0x1F,0x07,0x01,0x00,0x00,0x01,0x01,0x07,0x07,0x23,0x31,0x18,0x0C,0x0E,0x07,0x03, 0x01,0x01,0x01,0x03,0x07,0x0F,0x0E,0x1C,0x1F,0x3F,0x30,0x00,0x00,0x00,0x00,0x00; uchar code gong1[]= /*-- 文字 : 功 --*/ /*-- 宋体 23; 此字体下对应的点阵为 : 宽 x 高 =31x31 --*/ /*-- 高度不是 8 的倍数, 现调整为 : 宽度 x 高度 =32x32 --*/ 0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0xC0,0xC0,0xC0,0x00, 0x00,0x00,0x00,0xFE,0xFC,0x0C,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0x00,0x00,0x00,0x00,0x0C,0x04,0x04, 0x04,0x84,0xFF,0xFF,0x04,0x04,0x04,0x04,0x04,0xFE,0xFE,0x04,0x00,0x00,0x00,0x00, 0xC0,0xC0,0xC0,0xC0,0xE0,0x60,0x7F,0x3F,0x30,0x30,0x10,0x18,0x18,0x88,0xC0,0xF8, 0x7F,0x1F,0x01,0x00,0x00,0x00,0x00,0xF8,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x01, 0x01,0x00,0x00,0x00,0x00,0x20,0x20,0x30,0x18,0x0C,0x0C,0x07,0x03,0x01,0x00,0x04, 0x04,0x0C,0x0C,0x1C,0x38,0x3C,0x1F,0x0F,0x01,0x00,0x00,0x00,0x00,0x00,0x00,0x00; uchar code zhuang1[]= /*-- 文字 : 状 --*/ /*-- 宋体 12; 此字体下对应的点阵为 : 宽 x 高 =16x16 --*/ 0x08,0x30,0x00,0xFF,0x20,0x20,0x20,0x20,0xFF,0x20,0xE1,0x26,0x2C,0x20,0x20,0x00, 0x04,0x02,0x01,0xFF,0x40,0x20,0x18,0x07,0x00,0x00,0x03,0x0C,0x30,0x60,0x20,0x00; 电话 :

37 uchar code tai1[]= /*-- 文字 : 态 --*/ /*-- 宋体 12; 此字体下对应的点阵为 : 宽 x 高 =16x16 --*/ 0x00,0x04,0x04,0x04,0x84,0x44,0x34,0x4F,0x94,0x24,0x44,0x84,0x84,0x04,0x00,0x00, 0x00,0x60,0x39,0x01,0x00,0x3C,0x40,0x42,0x4C,0x40,0x40,0x70,0x04,0x09,0x31,0x00; uchar code shi1[]= /*-- 文字 : 使 --*/ /*-- 宋体 12; 此字体下对应的点阵为 : 宽 x 高 =16x16 --*/ 0x40,0x20,0xF0,0x1C,0x07,0xF2,0x94,0x94,0x94,0xFF,0x94,0x94,0x94,0xF4,0x04,0x00, 0x00,0x00,0x7F,0x00,0x40,0x41,0x22,0x14,0x0C,0x13,0x10,0x30,0x20,0x61,0x20,0x00; uchar code yong1[]= /*-- 文字 : 用 --*/ /*-- 宋体 12; 此字体下对应的点阵为 : 宽 x 高 =16x16 --*/ 0x00,0x00,0x00,0xFE,0x22,0x22,0x22,0x22,0xFE,0x22,0x22,0x22,0x22,0xFE,0x00,0x00, 0x80,0x40,0x30,0x0F,0x02,0x02,0x02,0x02,0xFF,0x02,0x02,0x42,0x82,0x7F,0x00,0x00; 电话 :

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63>

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63> JLX12864G-109-PC 带字库 IC 的编程说明书 目 录 序号 内容标题 页码 1 概述 2 2 字型样张 : 3 3 外形尺寸及接口引脚功能 4~6 4 工作电路框图 6 5 指令 6~9 6 字库的调用方法 9~17 7 硬件设计及例程 : 18~28 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

Microsoft Word - JLX PN中文说明书

Microsoft Word - JLX PN中文说明书 JLX240-003-PN 使用说明书 ( 不带字库 IC) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX240-003-PN

More information

Microsoft Word - JLX2832G-908-PN中文说明书

Microsoft Word - JLX2832G-908-PN中文说明书 JLX12832G-908-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12832G-908-PN 版本 :2018-9-25

More information

Microsoft Word - JLX19264G-270-BN中文说明书.doc

Microsoft Word - JLX19264G-270-BN中文说明书.doc JLX19264G-270-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~10 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-270-BN 版本

More information

Microsoft Word - JLX19232G-907-PN中文说明书

Microsoft Word - JLX19232G-907-PN中文说明书 JLX19232G-907-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~6 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子专注于液晶屏及液晶模块的研发 制造 所生产 JLX19232G-907

More information

晶联讯电子 OLED 显示模块 JLX6432OLED-049-P 更新日期 : JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性

晶联讯电子 OLED 显示模块 JLX6432OLED-049-P 更新日期 : JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性 JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性 5~6 7 指令功能及硬件接口与编程案例 7~ 页末 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子专注于液晶屏及液晶模块的研发 制造 所生产 JLX6432OLED-049

More information

Microsoft Word - JLX PC中文说明书

Microsoft Word - JLX PC中文说明书 JLX350-019-PC 使用说明书 ( 带字库 IC) 目 录 序号 内容标题 页码 1 字库 2~3 2 外形及接口引脚功能 4~5 3 基本原理 5 4 技术参数 5~6 5 指令功能及硬件接口与编程案例 6~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 字库 字库 IC(IC 型号 :JLX-GB2312-3205, 此 IC 为可选的配件

More information

JLX12864G-378

JLX12864G-378 JLX12864G-378 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5~6 6 时序特性 6~9 7 指令功能及硬件接口与编程案例 9~23 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 深圳市晶联讯电子液晶模块

More information

Microsoft Word - JLX19264G-333-PN中文说明书

Microsoft Word - JLX19264G-333-PN中文说明书 JLX19264G-333-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-333-PN 版本 :2016-11-16

More information

Microsoft Word - JLX6464G-720-BN中文说明书

Microsoft Word - JLX6464G-720-BN中文说明书 JLX6464G-720-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX6464G-720-BN 更新日期 :2018-01-25

More information

Microsoft Word - JLX12864G-710-BN中文说明书

Microsoft Word - JLX12864G-710-BN中文说明书 JLX12864G-710-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~1 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12864G-710-BN 版本

More information

Microsoft Word - JLX19264G-270-BN中文说明书

Microsoft Word - JLX19264G-270-BN中文说明书 JLX19264G-270-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~10 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-270-BN 版本

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

Microsoft Word - JLX12864G-360-BN中文说明书.doc

Microsoft Word - JLX12864G-360-BN中文说明书.doc JLX12864G-360-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12864G-360-BN 更新日期

More information

Microsoft Word - LM6029-AppNote-V0.2.doc

Microsoft Word - LM6029-AppNote-V0.2.doc LM6029 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本 描述 日期 编者 0.1 新版本 2005-11-22 PanFeng 0.2 修改 4 节指令集说明 LCD bias select 的指令描述 2006-02-10 PanFeng Ref LM6029-AppNote-V0.2.doc http//www.topwaysz.com 1 / 7 目录 1. 简介...3 2.

More information

Microsoft Word - JLX320240G-905-BN说明书

Microsoft Word - JLX320240G-905-BN说明书 JLX320240G-905-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3-6 4 电路框图 背光参数 7 5 技术参数 7-8 6 时序特性 8-12 7 指令表及硬件接口 编程案例 13- 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX320240G-905-BN

More information

Microsoft Word - JLX1602A-4中文说明书.doc

Microsoft Word - JLX1602A-4中文说明书.doc JLX1602A-4 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 字符型模块的特点 2 3 外形及接口引脚功能 3-6 4 基本原理 7-10 5 技术参数 10 6 时序特性 11-13 7 指令功能 13- 末页 电话 0755-29784961 Http//www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX1602A-4 版本 B 晶联讯电子专注于液晶屏及液晶模块的研发

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

Microsoft Word - JLX12832A-3G中文说明书.doc

Microsoft Word - JLX12832A-3G中文说明书.doc 使用说明书 ( 带 PCB) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~6 5 技术参数 7 6 时序特性 7~11 7 指令功能及硬件接口 11~13 1 1. 概述 T12832M005 可以显示 128 列 *32 行点阵单色图片, 或显示 8 个 / 行 *2 行 16*16 点阵的汉字, 或显示 16 个 / 行 *4 行

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

/ / / , DOCNO: SG J REV11-2 -

/ / / , DOCNO: SG J REV11-2 - SG240128-01J LCD Version11 LED VDD SG240128SYD-01JSYE STN 80 50V 50V SG240128FPD-01JSWE FSTN 80 50V 50V SG240128SBD-01JSWE STN 80 50V 50V SG240128-01J 1 33V 2 LCM LCD 3 LED 4 1 LCD 2 3 : 010-80750102 /03

More information

/ / / LED DOCNO: SG D REV11-2 -

/ / / LED DOCNO: SG D REV11-2 - SG24064-02D Version11 SG24064SYD-02DSYE LCD LED VDD STN 80 50V 50V SG24064SBD-02DSWE STN 80 50V 50V SG24064FPD-02DSWE FSTN 80 50V 50V SG24064SYD-02DSYE ND STN 80 50V 50V SG24064SBD-02DSWE ND STN 80 50V

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

Microsoft Word - LM1095R-AppNote-V0.3.doc

Microsoft Word - LM1095R-AppNote-V0.3.doc LM1095R 液 晶 显 示 模 块 应 用 参 考 深 圳 市 拓 普 微 科 技 开 发 有 限 公 司 版 本 描 述 日 期 编 者 0.1 新 版 本 2005-10-28 淮 俊 霞 0.2 修 改 2.4: 双 图 层 的 灰 阶 显 示 2006-01-18 淮 俊 霞 修 改 参 考 程 序 中 的 错 字 0.3 修 改 2.6: 初 始 化 寄 存 器 的 设 置 修 改 参

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

深圳市景润达电子有限公司

深圳市景润达电子有限公司 深圳市景润达电子有限公司电话 :0755-26042986/13537695550 E-mali:jrd_lcm@126.com 联系人 : 蒋桂儒 ( 先生 ) 地址 : 深圳市宝安广深路西乡段 373 号西乡宾山工业区深航工贸大厦 2 楼. OLED12864L OLED12864L-02(3V) OLED12864L-04(3V) OLED12864L-03(5V) OLED12864L-05(5V)

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

口 行 政 管 理 部 门 进 行 安 全 条 件 审 查 未 经 安 全 条 件 审 查 通 过, 港 口 建 设 项 目 不 得 开 工 建 设 第 六 条 交 通 运 输 部 指 导 监 督 全 国 港 口 建 设 项 目 安 全 条 件 审 查 工 作 国 务 院 国 家 发 展 改 革 委

口 行 政 管 理 部 门 进 行 安 全 条 件 审 查 未 经 安 全 条 件 审 查 通 过, 港 口 建 设 项 目 不 得 开 工 建 设 第 六 条 交 通 运 输 部 指 导 监 督 全 国 港 口 建 设 项 目 安 全 条 件 审 查 工 作 国 务 院 国 家 发 展 改 革 委 港 口 危 险 货 物 安 全 管 理 规 定 (2012 年 12 月 11 日 交 通 运 输 部 令 第 9 号 公 布 自 2013 年 2 月 1 日 起 施 行 ) 第 一 章 总 则 第 一 条 为 加 强 港 口 危 险 货 物 管 理, 预 防 和 减 少 危 险 货 物 事 故, 保 障 人 民 生 命 财 产 安 全, 保 护 环 境, 根 据 中 华 人 民 共 和 国 港

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V GT21L24S1W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V35 12X24 点国际扩展字符 2010-07 12x24 点国标扩展字符起始地址 2010-07 V36 内容没有调整 2010-08 V37 修改字型样张 2012-01 修改内容表 2012-01 V3.7I_A DATASHEET 格式修改 2012-07 V3.7I_B DATASHEET

More information

DY2402PBL_技术手册_完整版.doc

DY2402PBL_技术手册_完整版.doc DoYoung.net 电子技术 创造独立资源! 2007.10.27 DY2402PBL 24 X 2 字符型液晶显示屏模块 24 行 X 2 列字符显示,5 X 8 单字符点阵 并行 8 / 4 线数据通信 红色字符, 黑色背景, 独立 LED 背光电源 内置液晶灰度调整电路 标准 ASCII 字符库, 可自定义 8 个用户字符 品名 字符型液晶显示屏模块 型号 MBCF24204B03( 原厂

More information

目 录

目 录 国 网 北 京 市 电 力 公 司 2014 年 电 力 市 场 交 易 信 息 报 告 国 网 北 京 市 电 力 公 司 二 〇 一 五 年 一 月 目 录 一 电 力 市 场 需 求 信 息 1 ( 一 ) 电 力 市 场 环 境 1 ( 二 ) 电 力 消 费 情 况 1 二 电 力 市 场 供 应 信 息 2 ( 一 ) 电 网 建 设 及 运 行 情 况 2 ( 二 ) 电 厂 发 电

More information

1 () 2303 1737 1528 853 90 1991 2241 1178. 3 1157 1253 910 1122 1234 542 1278. 3 1144 1530 1005 934 648 213() 1705 720 859 2035 1649 1307 1210 120 487 227 1066 615 964 5 1039 1448 1015 1062 3 791 1258.

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V GT20L16J1Y 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V2.0I_A Datasheet 格式的修改 2010-07 V2.0I_B Datasheet 格式的修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 2 操作指令... 8 2.1 Instruction

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

FY.DOC

FY.DOC 高 职 高 专 21 世 纪 规 划 教 材 C++ 程 序 设 计 邓 振 杰 主 编 贾 振 华 孟 庆 敏 副 主 编 人 民 邮 电 出 版 社 内 容 提 要 本 书 系 统 地 介 绍 C++ 语 言 的 基 本 概 念 基 本 语 法 和 编 程 方 法, 深 入 浅 出 地 讲 述 C++ 语 言 面 向 对 象 的 重 要 特 征 : 类 和 对 象 抽 象 封 装 继 承 等 主

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

公安机关业务管理与执法实务全书(八).doc

公安机关业务管理与执法实务全书(八).doc ............................................. I ........................... ( )......... II ,, , , ( ) ( ) ( ) ( ) : ( ) ; ( ) ; ( ) ( ) ; ( ) ; ( ) ( ) ; ( ),, : , : ( ) ; ( ), ; ( ) ; ( ) : ( ) ; ( )

More information

AIG Assist紧急救援服务卡使用手册

AIG Assist紧急救援服务卡使用手册 永 安 星 奕 医 疗 保 险 定 制 计 划 服 务 手 册 一 客 户 增 值 服 务 服 务 类 别 服 务 项 目 编 号 项 目 名 称 服 务 内 容 备 注 日 常 健 康 咨 询 服 务 1 2 医 疗 机 构 指 引 健 康 指 导 与 建 议 为 客 户 提 供 就 近 的 适 合 的 医 疗 服 务 机 构 的 名 称 地 址 就 诊 科 室 特 色 专 科 等 信 息, 供

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

Microsoft Word - 永康市衛生所--黃琬珺.doc

Microsoft Word - 永康市衛生所--黃琬珺.doc 台 南 縣 永 康 市 衛 生 所 姓 名 : 黃 琬 珺 系 級 : 醫 學 四 學 號 :493940390 暑 期 社 區 醫 學 見 習 報 告 見 習 單 位 : 台 南 縣 永 康 市 衛 生 所 見 習 日 期 :2007/7/30~8/3 見 習 組 員 姓 名 ( 學 號 ): 黃 琬 珺 493940390 一 前 言 : 台 南 縣 永 康 市 衛 生 所 位 在 永 康 市

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号:

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号: 2 21,310,164 21,779,131-2.15 10,156,038 10,125,095 0.31 10.58 10.55 0.28 10.57 10.55 0.19-2,271,243-2,336,083 2.78% 0.035 0.240-85.42% 0.33 2.45 2.12 0.35 2.43 2.08 3 2,089 2,292 7,425-457 -2,587 4.2 33,418

More information

Untitled

Untitled 1 2 附 件 一 合 併 契 約 書 立 合 併 契 約 書 人, 宏 致 電 子 股 份 有 限 公 司 ( 登 記 地 址 : 桃 園 縣 中 壢 市 東 園 路 13 號 )( 以 下 簡 稱 甲 方 ) 及 維 翰 實 業 股 份 有 限 公 司 ( 登 記 地 址 : 台 北 市 內 湖 區 新 湖 三 路 134 號 4 樓 ) ( 以 下 簡 稱 乙 方 ) 均 係 依 據 中 華

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

Microsoft Word - MAN2023A_CH_APPONE.doc

Microsoft Word - MAN2023A_CH_APPONE.doc AT91 softpack 1.5 代码解读 基于 SAM7X EK 综合应用代码解读 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,GPIO H161T01 代码解读 关键词 AT91SAM7X256 系统板 创建日期 2010 07 14 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone

More information

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc 2 5 8 11 0 13 1. 13 2. 15 3. 18 1 23 1. 23 2. 26 3. 28 2 36 1. 36 2. 39 3. 42 4. 44 5. 49 6. 51 3 57 1. 57 2. 60 3. 64 4. 66 5. 70 6. 75 7. 83 8. 85 9. 88 10. 98 11. 103 12. 108 13. 112 4 115 1. 115 2.

More information

Microsoft Word - FX-T8048C256A.doc

Microsoft Word - FX-T8048C256A.doc FX-T8048C256A 液晶显示控制器使用手册 一 简介...2 二 控制板接口与设置...2 1 控制板外形尺寸:...2 2 与 MPU 的接口 (J2) 引脚定义...3 3 液晶显示接口(J3) 引脚定义...5 三 指令操作说明...6 四 FX-T8048C256A 应用...6 1 直接访问方式...7 2 间接控制方式...8 3 256 色应用程序模块...10 子程序一 :

More information

Microsoft Word - FX-VXC256-VER1.0.doc

Microsoft Word - FX-VXC256-VER1.0.doc FX-VXCC256 VGA 控制器使用手册 Ver1.0 一 简介...2 二 控制板接口与设置...2 1 控制板外形尺寸:...2 2 与 MPU 的接口 (J2) 引脚定义...3 3 VGA 接口 (J4) 引脚定义...5 三 指令操作说明...6 四 FX-VXC256 应用...6 1 直接访问方式...7 2 间接控制方式...8 3 256 色应用程序模块...10 子程序一 :

More information

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 幼 兒 組 指 定 曲 歌 詞 1. 兩 隻 老 虎 兩 隻 老 虎 兩 隻 老 虎 跑 得 快 跑 得 快 一 隻 沒 有 耳 朵 一 隻 沒 有 尾 巴 真 奇 怪 真 奇 怪 兩 隻 老 虎 兩 隻 老 虎 跑 得 快 跑 得 快 一 隻 沒 有 鼻 子 一 隻 沒 有 頭 髮 真 奇 怪 真 奇 怪 2. 小 毛 驢 我 有 一 頭 ( 隻 ) 小 毛 驢 我 從 來 也 不 騎 有 一 天

More information

Microsoft Word - FG12232A.doc

Microsoft Word - FG12232A.doc 图形点阵液晶显示模块使用手册 FG2232A 广州市方舟电子有限公司 公司网站 :www.arkteck.com 地址 : 广州市天河区东圃桃园路 33 号 5 楼电话 :2-82574878 82574677 8854485 传真 :82574878 82574677 分机 88 目 录 ( 一 ) 基本特性 () ( 二 ) 原理图 (2) ( 三 ) 限定参数 (2) ( 四 ) 直流特性 (2)

More information

untitled

untitled A, 3+A printf( ABCDEF ) 3+ printf( ABCDEF ) 2.1 C++ main main main) * ( ) ( ) [ ].* ->* ()[] [][] ** *& char (f)(int); ( ) (f) (f) f (int) f int char f char f(int) (f) char (*f)(int); (*f) (int) (

More information

13县(市、区)概况.FIT)

13县(市、区)概况.FIT) 梧 州 年 鉴 2014 县 ( 市 区 ) 概 况 苍 梧 县 苍 梧 县 概 况 苍 梧 县 位 于 广 西 东 部 洛 湛 铁 路, 桂 梧 高 速 公 路,207 321 国 道 和 浔 江 桂 江 过 境 2013 年 2 月 8 日, 国 务 院 批 准 梧 州 市 部 分 行 政 区 划 调 整, 撤 原 万 秀 区 蝶 山 区, 成 立 新 的 万 秀 区, 成 立 龙 圩 区 原

More information

逢 甲 大 學

逢 甲 大 學 Ultrasound radar system - i - - ii - The ultrasound radar system is on the basis of the Doppler Effect. In the incessant acoustic wave actuator, emitting to object. Some acoustic wave which impacted the

More information

C/C++语言 - C/C++数据

C/C++语言 - C/C++数据 C/C++ C/C++ Table of contents 1. 2. 3. 4. char 5. 1 C = 5 (F 32). 9 F C 2 1 // fal2cel. c: Convert Fah temperature to Cel temperature 2 # include < stdio.h> 3 int main ( void ) 4 { 5 float fah, cel ;

More information

Microsoft Word - 环办〔2015〕77号附件1.doc

Microsoft Word - 环办〔2015〕77号附件1.doc 附 件 为 确 保 2016 年 国 家 重 点 生 态 功 能 区 县 域 生 态 环 境 质 量 监 测 评 价 与 考 核 工 作 顺 利 完 成, 根 据 国 家 重 点 生 态 功 能 区 县 域 生 态 环 境 质 量 考 核 办 法 ( 环 发 2011 18 号 ) 和 中 央 对 地 方 国 家 重 点 生 态 功 能 区 转 移 支 付 办 法 ( 财 预 2015 126 号

More information

95年9月1日 圖書館林主任工作報告:

95年9月1日   圖書館林主任工作報告: 國 立 鳳 山 高 級 商 工 職 業 學 校 暨 附 設 進 修 學 校 103 學 年 度 第 1 次 校 務 會 議 紀 錄 時 間 :103 年 8 月 29 日 上 午 10 時 0 分 整 地 點 : 本 校 展 藝 樓 四 樓 會 議 室 主 席 : 鄭 校 長 越 庭 1 紀 錄 : 蘇 麗 如 一 102 學 年 度 第 3 次 校 務 會 議 決 議 事 項 執 行 情 形 :

More information

C C

C C C C 2017 3 8 1. 2. 3. 4. char 5. 2/101 C 1. 3/101 C C = 5 (F 32). 9 F C 4/101 C 1 // fal2cel.c: Convert Fah temperature to Cel temperature 2 #include 3 int main(void) 4 { 5 float fah, cel; 6 printf("please

More information

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc 目 录 第 一 章 关 于 InoTouch Editor 编 程 软 件 的 安 装... - 6-1.1 InoTouch 系 列 HMI 和 InoTouch Editor 软 件 的 简 介... - 6-1.2 安 装 InoTouch Editor 编 程 软 件... - 10-1.3 系 统 连 接 图... - 12-1.4 InoTouch 系 列 人 机 界 面 的 系 统 设

More information

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌 九 峰 吟 草 一 一 一 一 一 一 一 一 一 ~- - - 一 一 -- ~ - ~ ~ ~ ~ ~.. ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~. ~ ~. ~ ~ ~ ~ - ~ ~ ~ ~ ~ ~ 一 r 气 户 孜 犷 杯 只 匀 风 向 方 镇 忆 漾 阳 七 律 壕 江 两 岸 好 风 光, 古 史 连 篇 四 面 藏 典 语 南 桥 添 锦 绣, 泠 东 半 塔 裕 民 康 西

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

德州公交简报

德州公交简报 -DE ZHOU GONG JIAO JIAN -1- BAO- 2016 年 第 6 7 期 合 刊 总 第 233-234 期 ** 2 月 20 日 综 合 办 公 室 主 办 ( 内 部 资 料 ) 关 于 推 广 应 用 普 通 话 规 范 服 务 礼 仪, 促 进 文 明 和 谐 企 业 创 建 活 动 实 施 方 案 工 会 孙 主 席 宣 读 公 司 关 于 推 广 应 用 普 通

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.07.doc

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.07.doc 2 5 8 11 0 1. 13 2. 15 3. 18 1 1. 22 2. 25 3. 27 2 1. 35 2. 38 3. 41 4. 43 5. 48 6. 50 3 1. 56 2. 59 3. 63 4. 65 5. 69 13 22 35 56 6. 74 7. 82 8. 84 9. 87 10. 97 11. 102 12. 107 13. 111 4 114 1. 114 2.

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

Microsoft Word - LM2068S-AppNote-V0.1.doc

Microsoft Word - LM2068S-AppNote-V0.1.doc LM2068S 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本 描述 日期 编者 0.1 新版本 2006-08-10 淮俊霞 http://www.topwaysz.com 1 / 8 目录 1. 简介...3 2. 电路连接原理简图...3 3. 指令操作...3 4. 指令集说明...4 5. 显示内存及显示区的合成...5 6. 流程图...6 参考程序...7 http://www.topwaysz.com

More information

(4) (3) (2) (1) 1 B 2 C 3 A 4 5 A A 6 7 A B 8 B 9 D 1 1 0 1 B A A 1 A 1 2 3 C 1 A 1 A 1 B 1 A 1 B 1 2 2 2 2 2 4 5 6 7 8 9 0 1 2 3 4 A A B B A A D B B C B D A B d n 1 = ( x x ) n ij ik jk k= 1 i, j

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

CO 2 3 HCO 3 F1 10 i= 1 10 F1 i=

More information

校园之星

校园之星 ren shi wo men de shen ti qing shao nian cheng gong fa ze ren shi wo men de shen ti qing shao nian cheng gong fa ze ren shi wo men de shen ti qing shao nian cheng gong fa ze ren shi wo men de shen ti qing

More information

逢甲大學

逢甲大學 論 Pedometer 寧 葉 年 ii 老 寧 識 更 年 老 更 料 利 老 iii 了不 利 8051 8051 令 便 理 行 8051 數 路 流 行 論 iv Abstract This is the era that health is inseparable from manufacturing process. No high-tech manufacturer can survive

More information

1604字符点阵液晶显示模块使用说明书

1604字符点阵液晶显示模块使用说明书 第 1 页 目录 1 产品简介 3 2 引用文件 3 3 机械特性 3 4 产品框图 3 5 电气特性 4 6 极限参数 4 7 接口时序 4 8 直流特性 6 9 引脚描述 7 10 命令描述 8 11 附录 12 附录 1 程序参考 12 附录 2 字符表 15 附录 3 模块外形图 16 第 2 页 1 产品简介 主要工艺 :COB 显示内容 :4 行每行 16 个字符显示模式 :STN,POSITIVE

More information

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職 第 二 三 類 投 保 單 位 二 代 健 保 實 務 說 明 會 行 政 院 衛 生 署 全 民 健 康 保 險 局 南 區 業 務 組 說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費

More information

C 1

C 1 C homepage: xpzhangme 2018 5 30 C 1 C min(x, y) double C // min c # include # include double min ( double x, double y); int main ( int argc, char * argv []) { double x, y; if( argc!=

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information