Microsoft Word - JLX PC中文说明书

Size: px
Start display at page:

Download "Microsoft Word - JLX PC中文说明书"

Transcription

1 JLX PC 使用说明书 ( 带字库 IC) 目 录 序号 内容标题 页码 1 字库 2~3 2 外形及接口引脚功能 4~5 3 基本原理 5 4 技术参数 5~6 5 指令功能及硬件接口与编程案例 6~ 末页 电话 :

2 1. 字库 字库 IC(IC 型号 :JLX-GB , 此 IC 为可选的配件 ) 自带字库内容 : 电话 :

3 电话 :

4 2. 外形尺寸及接口引脚功能 图 1. 外形尺寸 电话 :

5 晶联讯电子 液晶模块 JLX PC 更新日期 : 模块的接口引脚功能 表 1: 模块的接口引脚功能 引线号符号 名称 功能 1 ROM_IN 字库 IC 接口 字库串行数据输入 2 ROM_OUT 字库 IC 接口 字库串行数据输出 3 ROM_SCK 字库 IC 接口 字库串行时钟 4 ROM_CS 字库 IC 接口 字库片选输入 5 LEDA 背光电源 背光电源正极, 同 VDD 电压 3.3V 6 VSS 接地 0V 7 VDD 电路电源 3.3V 8 A0(RS) 寄存器选择信号 H: 数据寄存器 0: 指令寄存器 (IC 资料上所写为 A0 ) 9 RES 复位 低电平复位, 复位完成后, 回到高电平, 液晶模块开始工作 10 CS 片选 低电平片选 11 D7 I/O 并行时 : 数据总线 DB7 串行时 : 串行数据 (SDA) 12 D6 I/O 并行时 : 数据总线 DB6 串行时 : 串行时钟 (SCK) D5-D0 I/O 并行时 : 数据总线 DB0~DB5 串行时 : 空 19 E(RD) 使能信号 并行时 : 使能信号串行时 : 空 20 WR(R/W) 读 / 写 并行时 :H: 读数据 0: 写数据串行时 : 空 3. 基本原理 3.1 背光参数该型号液晶模块带 LED 背光源 它的性能参数如下 : 工作温度 : C; 存储温度 : C; 背光板是白色 正常工作电流为 :24 60mA(LED 灯数共 3 颗, 每颗灯是 8~20 ma) 工作电压 :3.0V 4. 技术参数 4.1 最大极限参数 ( 超过极限参数则会损坏液晶模块 ) 名称 符号 标准值 单位 最小 典型 最大 电路电源 VDD V 工作温度 储存温度 表 2: 最大极限参数 4.2 直流 (DC) 参数名称 符号 测试条件 标准值 单位 电话 :

6 最小 典型值 最大 工作电压 VDD V 背光工作电压 VLED V 背光工作电流 ILED VLED=3.0V, 共 3 颗 LED 灯并联 ma 表 3: 直流 (DC) 参数 4.3 LCD 驱动 IC 指令表详见 JLX PN 的中文说明书 5.1 字库 IC(JLX-GB ) 的操作指令及点阵数据的调用方法 : 字库 IC 的操作指令只有两条, 两条只选一条进行使用, 操作指令表如下 : 以下分别介绍一般读取和快速读取 : Read Data Bytes( 一般读取 ) 电话 :

7 Read Data Bytes at Higher Speed( 快速读取点阵数据 ) 电话 :

8 5.2.1 字库调用方法 : 汉字点阵排列格式 X12 点 15X16 点 24X24 点 32X32 点汉字及 5X7 点 7X8 点 6X12 点 12X24 点字符 12 点阵不等宽字符 16 点阵不等宽字符的排列格式 : 详见字库 IC 资料 (JLX-GB S4W) 的第 页 汉字点阵字库地址表如下 : 电话 :

9 字符在芯片中的地址计算方法 : 用户只要知道字符的内码, 就可以计算出该字符点阵在芯片中的地址, 然后就可从该地址连续读出点阵信息用于显示 举例说明 :15X16 点 GB2312 标准点阵字库 : 电话 :

10 5.3 初始化方法 晶联讯电子液晶模块 JLX PC 更新日期 : 用户所编的显示程序, 开始必须进行初始化, 否则模块无法正常显示, 过程请参考程序 电话 :

11 MCU: 51 系列 液晶模块 P3.2 P2.1 P3.0 P3.5 P3.4 P1.0~P1.7 RS R/W E RESET CS DB0--DB7 LCD 驱动 IC 接口 P3.2 P3.1 P3.7 P3.6 ROM-OUT(SO) ROM-IN(SI) ROM-SCK(SCLK) ROM-CS(CS#) 字库 IC 接口 5.4 程序举例 : // 型号 :JLX PN 横屏; // 驱动 IC:ST7796S; #include <STC15F2K60S2.H> #include <chinese_code.h> // 液晶屏 IC 所需要的信号线的接口定义 sbit DC0=P3^3; sbit WR0=P2^1; sbit RD0=P3^0; sbit CS0=P3^4; sbit reset=p3^5; sbit key=p2^0; //P2.0 口与 GND 之间接一个按键 sbit Rom_IN=P3^1; sbit Rom_OUT=P3^2; sbit Rom_SCK=P3^7; sbit Rom_CS=P3^6; // 字库 IC 接口定义 :Rom_IN 就是字库 IC 的 SI // 字库 IC 接口定义 :Rom_OUT 就是字库 IC 的 SO // 字库 IC 接口定义 :Rom_SCK 就是字库 IC 的 SCK // 字库 IC 接口定义 Rom_CS 就是字库 IC 的 CS# void transfer_command(int com1) CS0 = 0; DC0 = 0; RD0 = 1; P1=com1; WR0 = 0; delay_us(2); 电话 :

12 WR0 = 1; CS0 = 1; void transfer_data(int data1) CS0 = 0; DC0 = 1; RD0 = 1; P1=data1; WR0 = 0; WR0 = 1; CS0 = 1; // 连写 2 个字节 ( 即 16 位 ) 数据到 LCD 模块 void transfer_data_16(uint data_16bit) transfer_data(data_16bit>>8); transfer_data(data_16bit); void delay(long i) int j,k; for(j=0;j<i;j++) for(k=0;k<110;k++); void delay_us(long i) int j,k; for(j=0;j<i;j++); for(k=0;k<1;k++); void Switch() repeat: if (key==1) goto repeat; else delay(1000); void lcd_initial() 电话 :

13 reset=1; delay(200); reset=0; delay(200); reset=1; delay(200); //************* Start Initial Sequence **********// transfer_command(0x11); //Sleep Out delay(200); transfer_command(0xf0); // transfer_data(0xc3); //enable command 2 part 1 transfer_command(0xf0); transfer_data(0x96); //enable command 2 part 2 transfer_command(0x36); // 内存数据访问控制 transfer_data(0xe8); //0x28 旋转 180 度横屏显示 transfer_command(0x3a); //16bit pixel transfer_data(0x55); transfer_command(0xb4); transfer_data(0x01); transfer_command(0xb7); transfer_data(0xc6); transfer_command(0xe8); transfer_data(0x40); transfer_data(0x8a); transfer_data(0x00); transfer_data(0x00); transfer_data(0x29); transfer_data(0x19); transfer_data(0xa5); transfer_data(0x33); transfer_command(0xc1); transfer_data(0x06); transfer_command(0xc2); transfer_data(0xa7); transfer_command(0xc5); transfer_data(0x18); 电话 :

14 transfer_command(0xe0); //Positive Voltage Gamma Control transfer_data(0xf0); transfer_data(0x09); transfer_data(0x0b); transfer_data(0x06); transfer_data(0x04); transfer_data(0x15); transfer_data(0x2f); transfer_data(0x54); transfer_data(0x42); transfer_data(0x3c); transfer_data(0x17); transfer_data(0x14); transfer_data(0x18); transfer_data(0x1b); transfer_command(0xe1); //Negative Voltage Gamma Coltrol transfer_data(0xf0); transfer_data(0x09); transfer_data(0x0b); transfer_data(0x06); transfer_data(0x04); transfer_data(0x03); transfer_data(0x2d); transfer_data(0x43); transfer_data(0x42); transfer_data(0x3b); transfer_data(0x16); transfer_data(0x14); transfer_data(0x17); transfer_data(0x1b); transfer_command(0xf0); transfer_data(0x3c); transfer_command(0xf0); transfer_data(0x69); delay(120); transfer_command(0x29); //Display ON transfer_command(0x2c); // 写数据开始 // 定义窗口坐标 : 开始坐标 (XS,YS) 以及窗口大小 (x_total,y_total) void lcd_address(int XS,int YS,int x_total,int y_total) int XE,YE; 电话 :

15 XE=XS+x_total-1; YE=YS+y_total-1; transfer_command(0x2a); // 设置 X 开始及结束的地址 transfer_data_16(xs); // X 开始地址 (16 位 ) transfer_data_16(xe); // X 结束地址 (16 位 ) transfer_command(0x2b); // 设置 Y 开始及结束的地址 transfer_data_16(ys); // Y 开始地址 (16 位 ) transfer_data_16(ye); // Y 结束地址 (16 位 ) transfer_command(0x2c); // 写数据开始 void mono_transfer_data_16(int mono_data,int font_color,int back_color) int i; for(i=0;i<8;i++) if(mono_data&0x80) transfer_data_16(font_color); // 当数据是 1 时, 显示字体颜色 else transfer_data_16(back_color); // 当数据是 0 时, 显示底色 mono_data<<=1; void display_black(void) int i; transfer_command(0x2c); for(i=0;i<480;i++) lcd_address(i,0,479,0); transfer_data_16(0xffff); // 写数据开始 // 显示上面一条横线 for(i=0;i<480;i++) lcd_address(i,319,479,319); // 显示下面一条横线 transfer_data_16(0xffff); 电话 :

16 for(i=0;i<319;i++) lcd_address(0,i,0,319); transfer_data_16(0xffff); // 显示左边一条竖线 for(i=0;i<319;i++) lcd_address(479,i,479,319); // 显示右边一条竖线 transfer_data_16(0xffff); //// 显示一幅彩图 //void display_image(int x,int y,uchar *dp) // // uchar i,j,k=0; // lcd_address(x,y,160,160); // for(i=0;i<160;i++) // // for(j=0;j<160;j++) // // transfer_data(*dp); // 传一个像素的图片数据的高位 // dp++; // transfer_data(*dp); // 传一个像素的图片数据的低位 // dp++; // // // // 全屏显示 RGB 颜色 void display_rgb(void) int i,j; lcd_address(0,0,480,320); for(i=0;i<160;i++) for(j=0;j<320;j++) transfer_data_16(red); for(i=0;i<160;i++) 电话 :

17 for(j=0;j<320;j++) transfer_data_16(green); for(i=0;i<160;i++) for(j=0;j<320;j++) transfer_data_16(blue); /********************************************************************** 函数名 :Gray16 功能 : 显示 16 灰阶 **********************************************************************/ void display_gray16(void) //color: WHITE, RED, GREEN, BLUE uint dataa; uint i, j, k; for(i = 0; i < 320; i++) for(j = 0; j < 16; j++) dataa = ((2 * j) << 11) ((4 * j) << 5) (2 * j); for(k = 0; k < 480 / 16; k++) transfer_data_16(dataa); // 全屏显示一种颜色 void display_color(uint color_data) int i,j; lcd_address(0,0,480,320); for(i=0;i<480;i++) for(j=0;j<320;j++) 电话 :

18 晶联讯电子液晶模块 JLX PC 更新日期 : transfer_data_16(color_data); /**** 送指令到晶联讯字库 IC***/ void send_command_to_rom( uint datu ) uint i; for(i=0;i<8;i++ ) if(datu&0x80) Rom_IN = 1; else Rom_IN = 0; datu = datu<<1; Rom_SCK=0; Rom_SCK=1; delay_us(1); /**** 从晶联讯字库 IC 中取汉字或字符数据 (1 个字节 )***/ static uchar get_data_from_rom( ) uint i; uint ret_data=0; Rom_SCK=1; for(i=0;i<8;i++) Rom_OUT=1; Rom_SCK=0; ret_data=ret_data<<1; if( Rom_OUT ) ret_data=ret_data+1; else ret_data=ret_data+0; Rom_SCK=1; delay_us(1); return(ret_data); // 从指定地址读出 32x32 点阵字符的数据写到液晶屏指定 (y,x) 座标中 void get_and_write_32x32(ulong fontaddr,uint x,uint y,uint font_color,uint back_color) 电话 :

19 uint i,j,disp_data; Rom_CS = 0; send_command_to_rom(0x03); send_command_to_rom((fontaddr&0xff0000)>>16); // 地址的高 8 位, 共 24 位 send_command_to_rom((fontaddr&0xff00)>>8); // 地址的中 8 位, 共 24 位 send_command_to_rom(fontaddr&0xff); // 地址的低 8 位, 共 24 位 for(j=0;j<32;j++) lcd_address(y,x+j,32,32); for(i=0;i<4;i++) disp_data=get_data_from_rom(); mono_transfer_data_16(disp_data,font_color,back_color); // 这一句相当于写了一行 8 个像素点的数据 Rom_CS=1; // 从指定地址读出 16x32 点阵字符的数据写到液晶屏指定 (y,x) 座标中 void get_and_write_16x32(ulong fontaddr,uint x,uint y,uint font_color,uint back_color) uint i,j,disp_data; Rom_CS = 0; send_command_to_rom(0x03); send_command_to_rom((fontaddr&0xff0000)>>16); // 地址的高 8 位, 共 24 位 send_command_to_rom((fontaddr&0xff00)>>8); // 地址的中 8 位, 共 24 位 send_command_to_rom(fontaddr&0xff); // 地址的低 8 位, 共 24 位 for(j=0;j<32;j++) lcd_address(y,x+j,32,16); for(i=0; i<2; i++ ) disp_data=get_data_from_rom(); mono_transfer_data_16(disp_data,font_color,back_color); // 这一句相当于写了一行 8 个像素点的数据 Rom_CS=1; // 从指定地址读出 24x24 点阵字符的数据写到液晶屏指定 (y,x) 座标中 void get_and_write_24x24(ulong fontaddr,uint x,uint y,uint font_color,uint back_color) uint i,j,disp_data; 电话 :

20 Rom_CS = 0; send_command_to_rom(0x03); send_command_to_rom((fontaddr&0xff0000)>>16); // 地址的高 8 位, 共 24 位 send_command_to_rom((fontaddr&0xff00)>>8); // 地址的中 8 位, 共 24 位 send_command_to_rom(fontaddr&0xff); // 地址的低 8 位, 共 24 位 for(j=0;j<24;j++) lcd_address(y,x+j,24,24); for(i=0; i<3; i++ ) disp_data=get_data_from_rom(); mono_transfer_data_16(disp_data,font_color,back_color); // 这一句相当于写了一行 8 个像素点的数据 Rom_CS=1; // 从指定地址读出 12x24 点阵字符的数据写到液晶屏指定 (y,x) 座标中 void get_and_write_12x24(ulong fontaddr,uint x,uint y,uint font_color,uint back_color) uint i,j,disp_data; Rom_CS = 0; send_command_to_rom(0x03); send_command_to_rom((fontaddr&0xff0000)>>16); // 地址的高 8 位, 共 24 位 send_command_to_rom((fontaddr&0xff00)>>8); // 地址的中 8 位, 共 24 位 send_command_to_rom(fontaddr&0xff); // 地址的低 8 位, 共 24 位 for(j=0;j<24;j++) lcd_address(y,x+j,24,12); for(i=0; i<2; i++ ) disp_data=get_data_from_rom(); mono_transfer_data_16(disp_data,font_color,back_color); // 这一句相当于写了一行 8 个像素点的数据 Rom_CS=1; // 从指定地址读出 16x16 点阵字符的数据写到液晶屏指定 (y,x) 座标中 void get_and_write_16x16(ulong fontaddr,uint x,uint y,uint font_color,uint back_color) uint i,j,disp_data; 电话 :

21 Rom_CS = 0; send_command_to_rom(0x03); send_command_to_rom((fontaddr&0xff0000)>>16); // 地址的高 8 位, 共 24 位 send_command_to_rom((fontaddr&0xff00)>>8); // 地址的中 8 位, 共 24 位 send_command_to_rom(fontaddr&0xff); // 地址的低 8 位, 共 24 位 for(j=0;j<16;j++) lcd_address(y,x+j,16,16); for(i=0; i<2; i++ ) disp_data=get_data_from_rom(); mono_transfer_data_16(disp_data,font_color,back_color); // 这一句相当于写了一行 8 个像素点的数据 Rom_CS=1; // 从指定地址读出 8x16 点阵字符的数据写到液晶屏指定 (y,x) 座标中 void get_and_write_8x16(ulong fontaddr,uint x,uint y,uint font_color,uint back_color) uint i,j,disp_data; Rom_CS = 0; send_command_to_rom(0x03); send_command_to_rom((fontaddr&0xff0000)>>16); // 地址的高 8 位, 共 24 位 send_command_to_rom((fontaddr&0xff00)>>8); // 地址的中 8 位, 共 24 位 send_command_to_rom(fontaddr&0xff); // 地址的低 8 位, 共 24 位 for(j=0;j<16;j++) lcd_address(y,x+j,16,8); for(i=0; i<1; i++ ) disp_data=get_data_from_rom(); mono_transfer_data_16(disp_data,font_color,back_color); // 这一句相当于写了一行 8 个像素点的数据 Rom_CS=1; // 从指定地址读出 12x12 点阵字符的数据写到液晶屏指定 (y,x) 座标中 void get_and_write_12x12(ulong fontaddr,uint x,uint y,uint font_color,uint back_color) uint i,j,disp_data; Rom_CS = 0; 电话 :

22 send_command_to_rom(0x03); send_command_to_rom((fontaddr&0xff0000)>>16); // 地址的高 8 位, 共 24 位 send_command_to_rom((fontaddr&0xff00)>>8); // 地址的中 8 位, 共 24 位 send_command_to_rom(fontaddr&0xff); // 地址的低 8 位, 共 24 位 for(j=0;j<12;j++) lcd_address(y,x+j,12,12); for(i=0; i<2; i++ ) disp_data=get_data_from_rom(); mono_transfer_data_16(disp_data,font_color,back_color); // 这一句相当于写了一行 8 个像素点的数据 Rom_CS=1; // 从指定地址读出 6x12 点阵字符的数据写到液晶屏指定 (y,x) 座标中 void get_and_write_6x12(ulong fontaddr,uint x,uint y,uint font_color,uint back_color) uint i,j,disp_data; Rom_CS = 0; send_command_to_rom(0x03); send_command_to_rom((fontaddr&0xff0000)>>16); // 地址的高 8 位, 共 24 位 send_command_to_rom((fontaddr&0xff00)>>8); // 地址的中 8 位, 共 24 位 send_command_to_rom(fontaddr&0xff); // 地址的低 8 位, 共 24 位 for(j=0;j<12;j++) lcd_address(y,x+j,12,6); for(i=0; i<1; i++ ) disp_data=get_data_from_rom(); mono_transfer_data_16(disp_data,font_color,back_color); // 这一句相当于写了一行 8 个像素点的数据 Rom_CS=1; // 从指定地址读出数据写到液晶屏指定 (y,x) 座标中 void get_and_write_5x8(ulong fontaddr,uint x,uint y,uint font_color,uint back_color) uint j,disp_data; Rom_CS = 0; 电话 :

23 //Rom_SCK=0; send_command_to_rom(0x03); send_command_to_rom((fontaddr&0xff0000)>>16); // 地址的高 8 位, 共 24 位 send_command_to_rom((fontaddr&0xff00)>>8); // 地址的中 8 位, 共 24 位 send_command_to_rom(fontaddr&0xff); // 地址的低 8 位, 共 24 位 for(j=0;j<8;j++) lcd_address(y,x+j,8,5); disp_data=get_data_from_rom(); mono_transfer_data_16(disp_data,font_color,back_color); // 这一句相当于写了一行 8 个像素点的数据 Rom_CS=1; /***********/ ulong fontaddr; // 显示一串 32x32 点阵的汉字或 16x32 点阵的 ASCII 码 void disp_gb2312_32x32_string(uint y,uint x,uchar *text,uint font_color,uint back_color) uint i= 0; while((text[i]>0x00)) if( ((text[i]>=0xb0) && (text[i]<=0xf7) ) && (text[i+1]>=0xa1) ) fontaddr = (text[i]- 0xb0)*94; fontaddr += (text[i+1]-0xa1)+846; fontaddr = (ulong)(fontaddr*128); fontaddr = (ulong)(fontaddr+0xedf00); get_and_write_32x32(fontaddr,y,x,font_color,back_color); i+=2; x+=32; else if(( (text[i]>=0xa1) && (text[i]<=0xa9) ) && (text[i+1]>=0xa1) ) fontaddr = (text[i]- 0xa1)*94; fontaddr += (text[i+1]-0xa1); fontaddr = (ulong)(fontaddr*128); fontaddr = (ulong)(fontaddr+0xedf00); get_and_write_32x32(fontaddr,y,x,font_color,back_color); i+=2; x+=32; 电话 :

24 else if( (text[i]>=0x20) && (text[i]<=0x7e) ) fontaddr = (text[i]- 0x20); fontaddr = (ulong)(fontaddr*64); fontaddr = (ulong)(fontaddr+0x1e5a50); get_and_write_16x32(fontaddr,y,x,font_color,back_color); i+=1; x+=16; else i++; // 显示一串 24x24 点阵的汉字或 12x24 点阵的 ASCII 码 void disp_gb2312_24x24_string(uint y,uint x,uchar *text,uint font_color,uint back_color) uint i= 0; while((text[i]>0x00)) if( ((text[i]>=0xb0) && (text[i]<=0xf7) ) && (text[i+1]>=0xa1) ) fontaddr = (text[i]- 0xb0)*94; fontaddr += (text[i+1]-0xa1)+846; fontaddr = (ulong)(fontaddr*72); fontaddr = (ulong)(fontaddr+0x068190); get_and_write_24x24(fontaddr,y,x,font_color,back_color); i+=2; x+=24; else if(( (text[i]>=0xa1) && (text[i]<=0xa9) ) && (text[i+1]>=0xa1) ) fontaddr = (text[i]- 0xa1)*94; fontaddr += (text[i+1]-0xa1); fontaddr = (ulong)(fontaddr*72); fontaddr = (ulong)(fontaddr+0x068190); get_and_write_24x24(fontaddr,y,x,font_color,back_color); 电话 :

25 i+=2; x+=24; else if( (text[i]>=0x20) && (text[i]<=0x7e) ) fontaddr = (text[i]- 0x20); fontaddr = (ulong)(fontaddr*48); fontaddr = (ulong)(fontaddr+0x1dff00); get_and_write_12x24(fontaddr,y,x,font_color,back_color); i+=1; x+=12; else i++; //===== 从字库读数据, 显示 16*16 点阵的汉字或 8*16 点阵的数字 ======== void disp_gb2312_16x16_string(uint y,uint x,uchar *text,uint font_color,uint back_color) uint i= 0; while((text[i]>0x00)) if(((text[i]>=0xb0) &&(text[i]<=0xf7))&&(text[i+1]>=0xa1)) fontaddr = (text[i]- 0xb0)*94; fontaddr += (text[i+1]-0xa1)+846; fontaddr = (ulong)(fontaddr*32); fontaddr = (ulong)(fontaddr+0x2c9d0); get_and_write_16x16(fontaddr,y,x,font_color,back_color); i+=2; x+=16; else if(((text[i]>=0xa1) &&(text[i]<=0xa9))&&(text[i+1]>=0xa1)) fontaddr = (text[i]- 0xa1)*94; fontaddr += (text[i+1]-0xa1); fontaddr = (ulong)(fontaddr*32); fontaddr = (ulong)(fontaddr+0x2c9d0); get_and_write_16x16(fontaddr,y,x,font_color,back_color); 电话 :

26 i+=2; x+=16; else if((text[i]>=0x20) &&(text[i]<=0x7e)) fontaddr = (text[i]- 0x20); fontaddr = (ulong)(fontaddr*16); fontaddr = (ulong)(fontaddr+0x1dd780); else get_and_write_8x16(fontaddr,y,x,font_color,back_color); i+=1; x+=8; i++; //===== 从字库读数据, 显示 12*12 点阵的汉字或 6*12 点阵的数字 void disp_gb2312_12x12_string(uint y,uint x,uchar *text,uint font_color,uint back_color) uint i= 0; while((text[i]>0x00)) if(((text[i]>=0xb0) &&(text[i]<=0xf7))&&(text[i+1]>=0xa1)) fontaddr = (text[i]- 0xb0)*94; fontaddr += (text[i+1]-0xa1)+846; fontaddr = (ulong)(fontaddr*24); fontaddr = (ulong)(fontaddr+0x00); get_and_write_12x12(fontaddr,y,x,font_color,back_color); i+=2; x+=12; else if(((text[i]>=0xa1) &&(text[i]<=0xa9))&&(text[i+1]>=0xa1)) fontaddr = (text[i]- 0xa1)*94; fontaddr += (text[i+1]-0xa1); fontaddr = (ulong)(fontaddr*24); fontaddr = (ulong)(fontaddr+0x00); get_and_write_12x12(fontaddr,y,x,font_color,back_color); i+=2; 电话 :

27 x+=12; else if((text[i]>=0x20) &&(text[i]<=0x7e)) fontaddr = (text[i]- 0x20); fontaddr = (ulong)(fontaddr*12); fontaddr = (ulong)(fontaddr+0x1dbe00); else get_and_write_6x12(fontaddr,y,x,font_color,back_color); i+=1; x+=6; i++; //===== 从字库读数据, 显示 5*8 点阵的字 void disp_gb2312_5x8_string(uint y,uint x,uchar *text,uint font_color,uint back_color) uint i= 0; while((text[i]>0x00)) if((text[i]>=0x20) &&(text[i]<=0x7e)) fontaddr = (text[i]- 0x20); fontaddr = (ulong)(fontaddr*8); fontaddr = (ulong)(fontaddr+0x1ddf80); else get_and_write_5x8(fontaddr,y,x,font_color,back_color); i+=1; x+=6; i++; void main(void) P1M1=0x00; P1M0=0x00; P2M1=0x00; P2M0=0x00; P3M1=0x00; P3M0=0x00; //P1 配置为准双向 //P2 配置为准双向 //P3 配置为准双向 电话 :

28 lcd_initial(); while(1) display_color(blue); // 显示全屏蓝色 disp_gb2312_32x32_string( 0,95," 晶联讯 3.5 寸 TFT 彩屏 ",white,blue); // 显示 32x32 点阵的字符串, 括号 内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) disp_gb2312_32x32_string(32+8,0,"132*32 点阵大汉字库 ",white,blue); // 显示 32x32 点阵的字符串, 括号内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) disp_gb2312_32x32_string(64+8*2,0," [(<!#$%a ^&*>)]",white,blue);// 显示 32x32 点阵的字 符串, 括号内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) disp_gb2312_24x24_string(96+8*3,0,"224*24 点阵中字国标汉字库 ",white,blue); // 显示 24x24 点 阵的字符串, 括号内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) disp_gb2312_24x24_string(120+8*4,0," [(<!#$%ABCabc ^&*>)]",white,blue);// 显示 24x24 点阵的字符串, 括号内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) disp_gb2312_16x16_string(148+8*5,0,"316*16 点阵小字国标汉字库 GB2312",white,blue);// 显示 16x16 点 阵的字符串, 括号内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) disp_gb2312_16x16_string(164+8*6,0," [(<!#$%abcdefghiabcdefghi ^&*>)]",white,blue); disp_gb2312_12x12_string(184+8*7,0,"412*12 点阵微小字国标汉字库 GB2312",white,blue);// 显示 12x12 点阵的字符串, 括号内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) disp_gb2312_12x12_string(200+8*8,0," [(<!#$%abcdefghijklmnopqabcdefghijklmn ^&*>)]",white,blue); disp_gb2312_5x8_string (220+8*8,0,"(5)5X8 dots format ASCII characters:[(<!@#$-%^&*>)]",white,blue); // 显示 5x8 点阵的字符串, 括号内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) Switch(); display_color(blue); // 显示全屏蓝色 disp_gb2312_24x24_string(24*1+40,115," ((`'_\"``\"\"_'`))",white,blue); disp_gb2312_24x24_string(24*2+40,115," ) - - ( ",white,blue); disp_gb2312_24x24_string(24*3+40,115," / (o _ o) \\",white,blue); disp_gb2312_24x24_string(24*4+40,115," \\ (o) /",white,blue); disp_gb2312_24x24_string(24*5+40,115," _'-._'='_.-'_ ",white,blue); disp_gb2312_24x24_string(24*6+40,115," /`;#'#'#.-.#'#'#;`\\",white,blue); disp_gb2312_24x24_string(24*7+40,115," \\_)) '#' ((_/",white,blue); disp_gb2312_24x24_string(24*8+40,115," # # ",white,blue); Switch(); display_color(blue); // 显示全屏蓝色 disp_gb2312_32x32_string(32*2,1, " 价值源于创造, 利己须先利人 ",white,blue); disp_gb2312_32x32_string(32*3,1, " 晶联讯将持续发展, 秉承 客户至 ",white,blue); disp_gb2312_32x32_string(32*4,1, " 上, 质量第一, 持续改进, 服务到 ",white,blue); // 显 示 16x16 点阵的字符串, 括号内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) disp_gb2312_32x32_string(32*5,0, " 位 的质量方针, 用科技创新产品 ",white,blue); //, disp_gb2312_32x32_string(32*6,0, " 用服务编织未来, 用诚信铸就品牌 ",white,blue); disp_gb2312_32x32_string(32*7,0, " 砥砺前行, 做行业的持续领跑者 ",white,blue); Switch(); display_color(blue); // 显示全屏蓝色 电话 :

29 disp_gb2312_12x12_string(12*0,0," 晶联讯, 国家级高新技术企业, 位于深圳市宝安区, 是一家集液晶显示模块及液晶显示屏 ",white,blue); // 显示 12x12 点阵的字符串, 括号内的参数分别是 (y,x, 数据指针, 字体色, 背景色 ) disp_gb2312_12x12_string(12*1,0," ",white,blue); disp_gb2312_12x12_string(12*2,0," 研发 生产 销售于一体的高科技公司 ",white,blue); disp_gb2312_12x12_string(12*3,0," ",white,blue); disp_gb2312_12x12_string(12*4,0," 自成立以来, 晶联讯一直以研发液晶显示解决方案为核心, 注重品质并专心于技术领域,",white,blue); disp_gb2312_12x12_string(12*5,0," ",white,blue); disp_gb2312_12x12_string(12*6,0," 相应形成自身的核心能力 现研发的产品有 : 一 TFT 彩色液晶显示模块 二 TN 型 STN 型 ",white,blue); disp_gb2312_12x12_string(12*7,0," ",white,blue); disp_gb2312_12x12_string(12*8,0,"fstn 型液晶显示屏 三 COG COB 型液晶显示模块 其中标准化的 COG 型液晶模块 带中文 ",white,blue); disp_gb2312_12x12_string(12*9,0," ",white,blue); disp_gb2312_12x12_string(12*10,0," 字库的液晶模块在行业中处于领先地位 目前, 晶联讯各类产品已经被广泛应用于新能源,",white,blue); disp_gb2312_12x12_string(12*11,0," ",white,blue); disp_gb2312_12x12_string(12*12,0," 医疗 电力 通讯 金融 环保 工业自动化等多个领域 ",white,blue); disp_gb2312_12x12_string(12*13,0," ",white,blue); disp_gb2312_12x12_string(12*14,0," 实力打造成功, 品质决定成败 晶联讯依靠长期的实践经验和强大的科研创新能力, 在激烈 ",white,blue); disp_gb2312_12x12_string(12*15,0," ",white,blue); disp_gb2312_12x12_string(12*16,0," 的市场竞争中稳步前行, 已通过 ISO9001 质量管理体系认证, 并严格按 ISO9001 质量管理体系 ",white,blue); disp_gb2312_12x12_string(12*17,0," ",white,blue); disp_gb2312_12x12_string(12*18,0," 的要求进行管理, 已成为全国多家知名品牌和终端用户的合作伙伴 ",white,blue); disp_gb2312_12x12_string(12*19,0," ",white,blue); disp_gb2312_12x12_string(12*20,0," 为顾客创造价值, 为员工提供发展空间, 为社会 厂商 股东创造效益, 是晶联讯发展的基 ",white,blue); disp_gb2312_12x12_string(12*21,0," ",white,blue); disp_gb2312_12x12_string(12*22,0," 石和动力 多年来, 晶联讯凭借稳定 可靠的产品质量, 优异的性价比, 完善的售后服务,",white,blue); disp_gb2312_12x12_string(12*23,0," 电话 :

30 ",white,blue); disp_gb2312_12x12_string(12*24,0," 树立了良好的品牌形象 ; 高于国家标准, 超出顾客期望, 为广大用 户提供了更加增值贴身的 ",white,blue); disp_gb2312_12x12_string(12*25,0," ",white,blue); disp_gb2312_12x12_string(12*26-4,0," 服 务 ",white,blue); Switch(); // display_image(0,0,pic1); // display_image(160,0,pic1); // display_image(320,0,pic1); // display_image(0,160,pic1); // display_image(160,160,pic1); // display_image(320,160,pic1); // Switch(); display_rgb(); Switch(); display_gray16(); Switch(); display_color(0xf800); Switch(); display_color(0x07e0); Switch(); display_color(0x001f); Switch(); display_color(0x0000); // 全屏显示黑色 display_black(); Switch(); display_color(0xffff); Switch(); TFT 模块与 MPU( 以 8051 系列单片机为例 ) 串行接口图如下 : 电话 :

31 与并行方式相比较, 只需改变接口顺序以及传送数据 传送命令这两个函数即可 : 串行程序 : // 型号 :JLX BN 横屏; // 驱动 IC:ST7796S; #include <STC15F2K60S2.H> #include <chinese_code.h> // 液晶屏 IC 所需要的信号线的接口定义 sbit RS=P1^1; sbit SCK=P3^2; sbit SDA=P1^0; sbit CS=P3^0; sbit RST=P3^1; sbit key=p2^0; //P2.0 口与 GND 之间接一个按键 void transfer_command(int com1) char i; CS=0; RS=0; for(i=0;i<8;i++) SCK=0; if(com1&0x80) SDA=1; else SDA=0; SCK=1; com1=com1<<=1; void transfer_data(int data1) char i; CS=0; RS=1; for(i=0;i<8;i++) SCK=0; if(data1&0x80) SDA=1; else SDA=0; SCK=1; data1=data1<<=1; -END- 电话 :

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63>

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63> JLX12864G-109-PC 带字库 IC 的编程说明书 目 录 序号 内容标题 页码 1 概述 2 2 字型样张 : 3 3 外形尺寸及接口引脚功能 4~6 4 工作电路框图 6 5 指令 6~9 6 字库的调用方法 9~17 7 硬件设计及例程 : 18~28 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

Microsoft Word - JLX PN中文说明书

Microsoft Word - JLX PN中文说明书 JLX240-003-PN 使用说明书 ( 不带字库 IC) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX240-003-PN

More information

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63>

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63> JLX12864G-330-PC 带字库 IC 的编程说明书 目 录 序号 内容标题 页码 1 概述 2 2 字型样张 : 3 3 外形尺寸及接口引脚功能 4~5 4 工作电路框图 5 5 指令 6~8 6 字库的调用方法 9~18 7 硬件设计及例程 : 19~ 尾页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 JLX12864G-330-PC 型液晶显示模块既可以当成普通的图像型液晶显示模块使用

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

Microsoft Word - JLX2832G-908-PN中文说明书

Microsoft Word - JLX2832G-908-PN中文说明书 JLX12832G-908-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12832G-908-PN 版本 :2018-9-25

More information

Microsoft Word - JLX1602A-4中文说明书.doc

Microsoft Word - JLX1602A-4中文说明书.doc JLX1602A-4 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 字符型模块的特点 2 3 外形及接口引脚功能 3-6 4 基本原理 7-10 5 技术参数 10 6 时序特性 11-13 7 指令功能 13- 末页 电话 0755-29784961 Http//www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX1602A-4 版本 B 晶联讯电子专注于液晶屏及液晶模块的研发

More information

JLX12864G-378

JLX12864G-378 JLX12864G-378 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5~6 6 时序特性 6~9 7 指令功能及硬件接口与编程案例 9~23 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 深圳市晶联讯电子液晶模块

More information

Microsoft Word - JLX19232G-907-PN中文说明书

Microsoft Word - JLX19232G-907-PN中文说明书 JLX19232G-907-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~6 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子专注于液晶屏及液晶模块的研发 制造 所生产 JLX19232G-907

More information

晶联讯电子 OLED 显示模块 JLX6432OLED-049-P 更新日期 : JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性

晶联讯电子 OLED 显示模块 JLX6432OLED-049-P 更新日期 : JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性 JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性 5~6 7 指令功能及硬件接口与编程案例 7~ 页末 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子专注于液晶屏及液晶模块的研发 制造 所生产 JLX6432OLED-049

More information

Microsoft Word - JLX19264G-270-BN中文说明书.doc

Microsoft Word - JLX19264G-270-BN中文说明书.doc JLX19264G-270-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~10 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-270-BN 版本

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Microsoft Word - JLX12832A-3G中文说明书.doc

Microsoft Word - JLX12832A-3G中文说明书.doc 使用说明书 ( 带 PCB) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~6 5 技术参数 7 6 时序特性 7~11 7 指令功能及硬件接口 11~13 1 1. 概述 T12832M005 可以显示 128 列 *32 行点阵单色图片, 或显示 8 个 / 行 *2 行 16*16 点阵的汉字, 或显示 16 个 / 行 *4 行

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Microsoft Word - JLX320240G-905-BN说明书

Microsoft Word - JLX320240G-905-BN说明书 JLX320240G-905-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3-6 4 电路框图 背光参数 7 5 技术参数 7-8 6 时序特性 8-12 7 指令表及硬件接口 编程案例 13- 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX320240G-905-BN

More information

Microsoft Word - LM1095R-AppNote-V0.3.doc

Microsoft Word - LM1095R-AppNote-V0.3.doc LM1095R 液 晶 显 示 模 块 应 用 参 考 深 圳 市 拓 普 微 科 技 开 发 有 限 公 司 版 本 描 述 日 期 编 者 0.1 新 版 本 2005-10-28 淮 俊 霞 0.2 修 改 2.4: 双 图 层 的 灰 阶 显 示 2006-01-18 淮 俊 霞 修 改 参 考 程 序 中 的 错 字 0.3 修 改 2.6: 初 始 化 寄 存 器 的 设 置 修 改 参

More information

Microsoft Word - LM6029-AppNote-V0.2.doc

Microsoft Word - LM6029-AppNote-V0.2.doc LM6029 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本 描述 日期 编者 0.1 新版本 2005-11-22 PanFeng 0.2 修改 4 节指令集说明 LCD bias select 的指令描述 2006-02-10 PanFeng Ref LM6029-AppNote-V0.2.doc http//www.topwaysz.com 1 / 7 目录 1. 简介...3 2.

More information

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V GT20L16J1Y 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V2.0I_A Datasheet 格式的修改 2010-07 V2.0I_B Datasheet 格式的修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 2 操作指令... 8 2.1 Instruction

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

Microsoft Word - GTC doc

Microsoft Word - GTC doc Gem-tech Dot matrix type LCM (2015-05-21) Product No. Controler Tech. V.A. A.A Dot size Module Size GTG-98641V3 NT7534 TAB NONE 31.0 22.5 26.739 19.185 0.258 0.285 34.0 64.5 GTG-12232IV2 SBN1661G_M18 COB

More information

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V GT21L24S1W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V35 12X24 点国际扩展字符 2010-07 12x24 点国标扩展字符起始地址 2010-07 V36 内容没有调整 2010-08 V37 修改字型样张 2012-01 修改内容表 2012-01 V3.7I_A DATASHEET 格式修改 2012-07 V3.7I_B DATASHEET

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

Microsoft Word - JLX19264G-333-PN中文说明书

Microsoft Word - JLX19264G-333-PN中文说明书 JLX19264G-333-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-333-PN 版本 :2016-11-16

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

/ / / , DOCNO: SG J REV11-2 -

/ / / , DOCNO: SG J REV11-2 - SG240128-01J LCD Version11 LED VDD SG240128SYD-01JSYE STN 80 50V 50V SG240128FPD-01JSWE FSTN 80 50V 50V SG240128SBD-01JSWE STN 80 50V 50V SG240128-01J 1 33V 2 LCM LCD 3 LED 4 1 LCD 2 3 : 010-80750102 /03

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

Microsoft Word - JLX6464G-720-BN中文说明书

Microsoft Word - JLX6464G-720-BN中文说明书 JLX6464G-720-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX6464G-720-BN 更新日期 :2018-01-25

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

/ / / LED DOCNO: SG D REV11-2 -

/ / / LED DOCNO: SG D REV11-2 - SG24064-02D Version11 SG24064SYD-02DSYE LCD LED VDD STN 80 50V 50V SG24064SBD-02DSWE STN 80 50V 50V SG24064FPD-02DSWE FSTN 80 50V 50V SG24064SYD-02DSYE ND STN 80 50V 50V SG24064SBD-02DSWE ND STN 80 50V

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

untitled

untitled XP248 1 XP248 XP248 DCS PLC SCnet SCnet DCS SCnet DCS 1.1 XP248 Modbus HostLink Modbus XP248 4 DB25 XP248 MODBUS XP248 SCControl XP248 4 RS232 RS485 4 32 XP248 COM0-COM1 COM2-COM3 1200 19200bit/s 5 8 1

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

MT5V4Borchure.cdr

MT5V4Borchure.cdr EasyView 觸控科技 引領未來 MT 500 Professional Human Machine Interface Touch Screen for Industrial Applications ISO 9001:000 Offline Simulator EasyBuilder Online Simulator MT500 Online Simulator Direct Online

More information

Microsoft Word - JLX12864G-710-BN中文说明书

Microsoft Word - JLX12864G-710-BN中文说明书 JLX12864G-710-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~1 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12864G-710-BN 版本

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

Microsoft Word - FG12232A.doc

Microsoft Word - FG12232A.doc 图形点阵液晶显示模块使用手册 FG2232A 广州市方舟电子有限公司 公司网站 :www.arkteck.com 地址 : 广州市天河区东圃桃园路 33 号 5 楼电话 :2-82574878 82574677 8854485 传真 :82574878 82574677 分机 88 目 录 ( 一 ) 基本特性 () ( 二 ) 原理图 (2) ( 三 ) 限定参数 (2) ( 四 ) 直流特性 (2)

More information

行動電話面板產業

行動電話面板產業 TFT-LCD IC LCD TV Monitor TFT LCD IC 2005 Samsung IC - IC LCD TV LCD monitor LCD TV 2004~2007 69% LCD Monitor 2004~2007 18% IC 2004 ~2009 16.26% 2004 ~2009 10.39% ASP (Fine Pitch) IC Pin IC IC IC TCP COF

More information

Microsoft Word - JLX12864G-360-BN中文说明书.doc

Microsoft Word - JLX12864G-360-BN中文说明书.doc JLX12864G-360-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12864G-360-BN 更新日期

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

Microsoft Word - FX-VXC256-VER1.0.doc

Microsoft Word - FX-VXC256-VER1.0.doc FX-VXCC256 VGA 控制器使用手册 Ver1.0 一 简介...2 二 控制板接口与设置...2 1 控制板外形尺寸:...2 2 与 MPU 的接口 (J2) 引脚定义...3 3 VGA 接口 (J4) 引脚定义...5 三 指令操作说明...6 四 FX-VXC256 应用...6 1 直接访问方式...7 2 间接控制方式...8 3 256 色应用程序模块...10 子程序一 :

More information

Microsoft Word - JLX19264G-270-BN中文说明书

Microsoft Word - JLX19264G-270-BN中文说明书 JLX19264G-270-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~10 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-270-BN 版本

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

DY2402PBL_技术手册_完整版.doc

DY2402PBL_技术手册_完整版.doc DoYoung.net 电子技术 创造独立资源! 2007.10.27 DY2402PBL 24 X 2 字符型液晶显示屏模块 24 行 X 2 列字符显示,5 X 8 单字符点阵 并行 8 / 4 线数据通信 红色字符, 黑色背景, 独立 LED 背光电源 内置液晶灰度调整电路 标准 ASCII 字符库, 可自定义 8 个用户字符 品名 字符型液晶显示屏模块 型号 MBCF24204B03( 原厂

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

Microsoft PowerPoint - ds-1.ppt [兼容模式]

Microsoft PowerPoint - ds-1.ppt [兼容模式] http://jwc..edu.cn/jxgl/ HomePage/Default.asp 2 说 明 总 学 时 : 72( 学 时 )= 56( 课 时 )+ 16( 实 验 ) 行 课 时 间 : 第 1 ~14 周 周 学 时 : 平 均 每 周 4 学 时 上 机 安 排 待 定 考 试 时 间 : 课 程 束 第 8 11 12 章 的 内 容 为 自 学 内 容 ; 目 录 中 标 有

More information

1604字符点阵液晶显示模块使用说明书

1604字符点阵液晶显示模块使用说明书 第 1 页 目录 1 产品简介 3 2 引用文件 3 3 机械特性 3 4 产品框图 3 5 电气特性 4 6 极限参数 4 7 接口时序 4 8 直流特性 6 9 引脚描述 7 10 命令描述 8 11 附录 12 附录 1 程序参考 12 附录 2 字符表 15 附录 3 模块外形图 16 第 2 页 1 产品简介 主要工艺 :COB 显示内容 :4 行每行 16 个字符显示模式 :STN,POSITIVE

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

Microsoft Word - FX-T8048C256A.doc

Microsoft Word - FX-T8048C256A.doc FX-T8048C256A 液晶显示控制器使用手册 一 简介...2 二 控制板接口与设置...2 1 控制板外形尺寸:...2 2 与 MPU 的接口 (J2) 引脚定义...3 3 液晶显示接口(J3) 引脚定义...5 三 指令操作说明...6 四 FX-T8048C256A 应用...6 1 直接访问方式...7 2 间接控制方式...8 3 256 色应用程序模块...10 子程序一 :

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

公安机关业务管理与执法实务全书(八).doc

公安机关业务管理与执法实务全书(八).doc ............................................. I ........................... ( )......... II ,, , , ( ) ( ) ( ) ( ) : ( ) ; ( ) ; ( ) ( ) ; ( ) ; ( ) ( ) ; ( ),, : , : ( ) ; ( ), ; ( ) ; ( ) : ( ) ; ( )

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

Microsoft Word - 办事指南汇总20160401(140x203mm).doc

Microsoft Word - 办事指南汇总20160401(140x203mm).doc 厦 门 人 才 政 策 新 十 八 条 及 相 关 办 事 指 南 汇 编 中 共 厦 门 市 委 人 才 工 作 领 导 小 组 办 公 室 印 2016 年 3 月 目 录 1 中 共 厦 门 市 委 厦 门 市 人 民 政 府 关 于 印 发 关 于 进 一 步 激 励 人 才 创 新 创 业 的 若 干 措 施 的 通 知...() 2 国 家 千 人 计 划 省 百 人 计 划 市 双

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft Word - LM2068S-AppNote-V0.1.doc

Microsoft Word - LM2068S-AppNote-V0.1.doc LM2068S 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本 描述 日期 编者 0.1 新版本 2006-08-10 淮俊霞 http://www.topwaysz.com 1 / 8 目录 1. 简介...3 2. 电路连接原理简图...3 3. 指令操作...3 4. 指令集说明...4 5. 显示内存及显示区的合成...5 6. 流程图...6 参考程序...7 http://www.topwaysz.com

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

BackCover

BackCover CA-310 AC AC SIP/SOP IEC IEC 60950 IEC 61010-1 IEC 61010-1 CA AC 100 120V 200 240V AC CA AC AC AC AC AC AC AC AC AC AC AC AC CA AC AC CA AC AC AC 1 CA-310LED LED AC 100-120V 200-240V AC ±10% 2 2000 10

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 幼 兒 組 指 定 曲 歌 詞 1. 兩 隻 老 虎 兩 隻 老 虎 兩 隻 老 虎 跑 得 快 跑 得 快 一 隻 沒 有 耳 朵 一 隻 沒 有 尾 巴 真 奇 怪 真 奇 怪 兩 隻 老 虎 兩 隻 老 虎 跑 得 快 跑 得 快 一 隻 沒 有 鼻 子 一 隻 沒 有 頭 髮 真 奇 怪 真 奇 怪 2. 小 毛 驢 我 有 一 頭 ( 隻 ) 小 毛 驢 我 從 來 也 不 騎 有 一 天

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

untitled

untitled 說 E-mail: sales@liteputer.com.tw 錄 : 識 Lite-Puter... 說... CP-2B / CP-3B 3-1 CP-2B...6 3-2 CP-3B...7 CP-3B 說 4-1 路 (Channel) 說 路 亮度 連 數 路 亮度 路 亮度 路 亮度 4-2 (Scene) 說 路 亮度 行 行 行 4-3 說 不 行 路 亮度 4-4 (TIME)

More information

FIT1改1.FIT)

FIT1改1.FIT) 卷 首 语 深 化 课 改, 深 向 何 方? 野 如 果 今 天 我 们 用 昨 天 的 方 式 教 的 话 袁 我 们 就 是 在 剥 夺 孩 子 的 未 来 遥 冶 过 去 的 教 育 袁 我 们 更 多 地 陷 入 应 试 教 育 的 泥 淖 不 可 自 拔 袁 如 今 教 育 环 境 愈 加 开 放 袁 社 会 诉 求 日 益 多 元 袁 我 们 必 须 转 变 育 人 模 式 袁 向 着

More information

( ) - 2 -

( ) - 2 - ( ) 1 = 300VA 1.5 2 = 2A 1.5 3 3mA - 1 - ( ) - 2 - 1 2 3 4 5-3 - 1) ( ) 2) 3) 4) 5) 1) F F (1) F (2) F - 4 - 192 2) 9 9 9 193 3) 6 7 8 6 A 000F 4) 4 5 E 4 5 E 1) (LED ) 2) (LED ) 3) 4) 8 1) 2) - 5 - 3)

More information

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc 目 录 第 一 章 关 于 InoTouch Editor 编 程 软 件 的 安 装... - 6-1.1 InoTouch 系 列 HMI 和 InoTouch Editor 软 件 的 简 介... - 6-1.2 安 装 InoTouch Editor 编 程 软 件... - 10-1.3 系 统 连 接 图... - 12-1.4 InoTouch 系 列 人 机 界 面 的 系 统 设

More information

G30

G30 Modbus TUR I/OLED EnerVista AC/DC RS232 CT I/O 6 4 2X16LCD 6 LED4 RS232 RS485 ModbusRTU 19200 EnerVista GEMultilin 24 5 129 MIB MIB M MIB MIB MIB MOV 2kV MIB RGF MIB MIB 6 LED4 33.5LED RS232 RS485 Modbus

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

关于试行《高等学校从事有害健康工种人员营养保健等级和标准的暂行规定》的通知

关于试行《高等学校从事有害健康工种人员营养保健等级和标准的暂行规定》的通知 关 于 试 行 高 等 学 校 从 事 有 害 健 康 工 种 人 员 营 养 保 健 等 级 和 标 准 的 暂 行 规 定 的 通 知 教 备 局 字 1988 1988 00 008 号 委 属 各 高 等 学 校 : 高 等 学 校 从 事 有 害 健 康 工 种 人 员 营 养 保 健 等 级 和 标 准 的 暂 行 规 定, 自 一 九 八 三 年 以 来 在 全 国 广 泛 征 求 意

More information

ZHFX1302.mps

ZHFX1302.mps 窑 员 苑 源 窑 中 华 放 射 医 学 与 防 护 杂 志 圆 园 员 猿 年 源 月 第 猿 猿 卷 第 圆 期 摇 悦 澡 蚤 灶 允 砸 葬 凿 蚤 燥 造 酝 藻 凿 孕 则 燥 贼 袁 粤 责 则 蚤 造 圆 园 员 猿 袁 灾 燥 造 援 猿 猿 袁 晕 燥 援 圆 四 例 骨 髓 型 急 性 放 射 病 患 者 受 照 后 员 圆 年 医 学 随 访 观 察 窑 放 射 卫 生 窑

More information

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌 九 峰 吟 草 一 一 一 一 一 一 一 一 一 ~- - - 一 一 -- ~ - ~ ~ ~ ~ ~.. ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~. ~ ~. ~ ~ ~ ~ - ~ ~ ~ ~ ~ ~ 一 r 气 户 孜 犷 杯 只 匀 风 向 方 镇 忆 漾 阳 七 律 壕 江 两 岸 好 风 光, 古 史 连 篇 四 面 藏 典 语 南 桥 添 锦 绣, 泠 东 半 塔 裕 民 康 西

More information

Microsoft Word - 永康市衛生所--黃琬珺.doc

Microsoft Word - 永康市衛生所--黃琬珺.doc 台 南 縣 永 康 市 衛 生 所 姓 名 : 黃 琬 珺 系 級 : 醫 學 四 學 號 :493940390 暑 期 社 區 醫 學 見 習 報 告 見 習 單 位 : 台 南 縣 永 康 市 衛 生 所 見 習 日 期 :2007/7/30~8/3 見 習 組 員 姓 名 ( 學 號 ): 黃 琬 珺 493940390 一 前 言 : 台 南 縣 永 康 市 衛 生 所 位 在 永 康 市

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information