深圳市景润达电子有限公司

Size: px
Start display at page:

Download "深圳市景润达电子有限公司"

Transcription

1 深圳市景润达电子有限公司电话 : / 联系人 : 蒋桂儒 ( 先生 ) 地址 : 深圳市宝安广深路西乡段 373 号西乡宾山工业区深航工贸大厦 2 楼. OLED12864L OLED12864L-02(3V) OLED12864L-04(3V) OLED12864L-03(5V) OLED12864L-05(5V) 备注 : 我们针对多数电子系统的电压 结构 接口等需求, 开发系列产品, 满足您的设备要求 对于客户订制产品, 我们承诺在 15 个工作日提交订制成品, 满足您的特殊需求 OLED 1

2 目录 1 简介... 2 模组结构... 接口 PIN 脚说明... 3 时序波形图... 4 模组控制指令... 5 显示数据 RAM 的地址结构... 6 模组的电气特性... 7 应用实例 硬件参考电路 软件代码实例 :... 版本更新记录日期 版本号 更新内容 更新标记 初始版本 发布 1 简介 OLED12864L 12864L 是 128 列 X64 行点阵的 OLED 单色 字符 图形显示模块, 应用电路简单, 使用方便 该模块具有以下特点 : 点阵的图形显示模块 ; 高亮度, 高对比度, 宽视角, 响应速度快, 温度范围宽 8 位并行数据接口, 读 写操作时序 ; 可以直接与八位微处理器相连 模块内藏 128X64 Bit 显示数据 RAM RAM 中每 1 位数据对应 OLED 屏上一个点的亮 暗状态. 2

3 VCC 内置 GND VDD RES# D/C# R/W# E/RD# CS# D0-D7 NC NC 蒋先生 集成 SSD1305 OLED 驱动器 接口电路简单, 使用方便 基准亮度 : 70 cd/m² ( 带偏振片 ) 模块逻辑电源电压 : +3V±10%(-02-04) +5V±10%(-03-05) OLED 显示屏驱动电压 ( 内置 ):VCC 12V-16V; 本文应用实例中, 使用 51 系列的单片机 2 模组结构 12864L 模块的 OLED 显示屏为 128 列,64 行结构 下图为模块逻辑电路和接口电路框图 Rowo2-62 SSD1305 DRICER IC Columno-127 OLED Ranel Row13-63 用户需要提供逻辑电源, 相应送出显示 DATA(D0 D7) 并产生驱动指令信号 D/C#, R/W#,E/RD#, 就能点亮 OLED 屏 从图中可以看出,MCU 仅与 SSD1305 发生关系, 所以需要了解 SSD1305 的时序特性及指令系统 ( 见 3 时序波形图 4 模组控制指令 ), 就能方便的使用本模块了 3

4 4

5 5

6 接口 PIN 脚说明 12864L(L-02,L-03,L-04,L-05) PIN PIN DESCRIPTION NAME NO GND 1 地 VDD 2 逻辑电压 +3V(S002) +5V(S003 S005) VCC 3 OLED 内置驱动电压 +14V ( 可以悬空 ) D/C# 4 数据 / 指令控制引脚. 如果为高, 则 D0 D7 数据送入 OLED 显示 RAM. 如果为低, 则 D0 D7 数据作为指令送入 OLED 控制寄存器. R/W# 5 当选择 6800 总线方式, 此引脚为读写控制.R/W# = 1: 读模式 ;R/W# = 0: 写模式 此时 E 为使能总线读写 当选择 8080 总线方式, 此引脚为写使能控制,RD# 为读使能控制 当 CS#=0,R/W# 上升沿有效 E(RD#) 6 当选择 6800 总线方式, CS#=0,E 上升沿有效 当选择 8080 总线方式, CS#=0,RD 上升沿有效 R/W# 与 E(RD#) 请参考时序图 D0-D 当选择 6800/8080 总线方式时, 为 8bit 数据总线 ; 当选择串行方式时, D1 为数据线 SDIN, D0 为时钟信号 SCLK. CS# 15 片选, 低有效 RES# 16 复位, 低有效 M80/68# 17 M80/68# 与 MS 配置 OLED 通讯方式选择引脚, 见下方列表解释 I-03 & I-05 模组只能配置为 8080 总线方式 Ttable 6800 总线 总线式 串行方式 MS 18 M80/68# MS NC 19 悬空 FG 20 压框, 请用户根据设备实际情况考虑是否做接地处理 3 时序波形图 6

7 12864L 6800-Series MPU Parallel Interface Timing Characteristics ( TA =25 C) 6800-series MPU parallel interface characteristics(l-02,l-04) OLED 7

8 8080-Series MPU Parallel Interface Timing Characteristics (TA =25 C) 8080-series MPU parallel interface characteristics(l-02,l-03,l-04,l-05) OLED 8

9 Serial Interface Timing Characteristics (TA = 25 C) Serial interface characteristics(l-02,l-03,l-04,l-05) 4 模组控制指令 9

10 指令列表 (D/C =0, R/W (WR#)=0, E (RD#)=1 指令代码 指令 解释 0000 X3X2X1X0 设置列地址低四位 把列地址 (1BYTE) 划分成低 高四位, 低四位 X3X2X1X0 结合此指令送入 OLED 列地址控制寄存器 POR 为 X3X2X1X0 设置列地址高四位 高四位 X3X2X1X0 结合此指令送入 OLED 列地址控制寄存器 POR 为 使能水平方向滚动 实现水平方向显示效果 禁止水平方向滚动 停止水平方向显示效果 A[2:0] B[2:0] C[1:0] D[2:0] 水平滚动设置 A[2:0] 设置水平滚动列数 / 步 : 001b,010b, 011b, 100b B[2:0] 水平滚动区间起始页地址 C[1:0] 水平滚动周期 00b 12 frame 01b 64 frames 10b 128 frames 11b 256 frames D[2:0] 滚动区间末页地址, 必须大于等于 B[2:0] 设置 OLED 对比度 双字节指令,256 级对比度 A[7:0] (POR A[7:0] = 80h) X0 设置全亮显示 X0=0: 正常模式 (POR) X0=1: 全亮模式 X0 设置正反显示 X0=0: 正常模式 (POR) X0=1: 反显模式 Set Multiplex Ratio ** The next command, A[5:0] A[5:0] determines multiplex ratio N from16mux-64mux, POR= 64MUX X0 开关显示指令 X0=0: 关显示 X0=1: 开显示 (POR) 1011 X3X2X1X0 设置页地址 8 页地址 (0~7) 控制读写 RAM 时页定位 X3X2X1X0 1100X3 * * * 设置行扫描方向 X3=0: 正方向 (POR), 从 COM 0 到 COM [N 1] X3=1: 反方向. 从 COM [N-1] 到 COM0 Where N is the Multiplex ratio. 10

11 设置行地址偏置 可以用来实现上下滚动效 复位时 H A[5:0]= 设置预充电时间 设置预充电时间 X7X6X5X4 个 DCLK X7X6X5X40010 默认值 X7X6X5X4=0010b X40010 配置列驱动方向 保留 保留 NOP ** 空操作指令 X4=0, Sequential COM pin configuration (i.e. COM31, 30, 29.0 ;SEG0-132;COM31,32.62,63) X4=1(POR), Alternative COM pin configuration (i.e. COM62,60,58, 2,0; SEG0-132;COM1,3,5 61,63) 注意 :POR 上电复位 5 显示数据 RAM 的地址结构 12864L 内置 128X64 Bit 的显示存储器,RAM 容量为 128X8 =1024 BYTE; 显示存储器用于存储显示数据, 显示屏上各像素点的显示状态与显示存储器的各位数据一一对应, 显示存储器的数据直接作为图形显示的驱动信号 正常模式时, 数据显示为 1, 相应的像素点显示 ; 数据显示为 0, 相应的像素点不显示 下图为 RAM 的地址结构 11

12 12

13 6 模组的电气特性 直流电特性 Symbol ITEM MIN TYP MAX UNIT VDD 逻辑电 2.4(I-02,I-04) V 压 4.5(I-03,I-05) VCC OLED 驱 V 动电压 pt 功耗 MW Top Tstg 工作温度存储温度

14 7 应用实例 7.1 硬件参考电路 (8080-series Parallel Interface 方式 ) NOTE:M80/68# =1 MS =1 我们选择流行 简单 通用的 8051 系列单片机为设计实例 : 12864L (L-02,L-03,L-04,L-05) 7.2 软件代码实例 : /************************************************************** 12864L 符显示程序 ( 调试程序 )-51 单片机代码例程 2007 年 1 月 1 日, 程序已验证可以申请基于 51 系列 AVR 系列 ARM7 等处理器平台的代码支援 **************************************************************/ #include <reg52.h> /* define 8051 registers */ #include <stdio.h> /* define I/O functions */ //#include "cat.h" // 我们推荐用户使用字模 3 软件提取图象 #include "number.h" //0--9 figure #include "chinese.h" //1616 chinese word //#include "word1616.h" // 我们推荐用户使用字模 3 软件生成字模 14

15 #include "word2424.h" //******************************************************/ #define uchar unsigned char #define uint unsigned int sbit CS=P1^0; //#CS# 片选信号, 低电平有效 sbit RES=P1^1; //#RES# 芯片复位信号, 低电平有效 sbit DC=P1^2; //D/C#, 数据命令选择信号,D/C#=0:COMMAND,D/C#=1:DATA // 利用 51 单片机 P0 P3^6(WR) P3^7(RD) 8080 总线方式访问 DWY12864I OLED // 自动产生 WR RD 信号 static uchar xdata point _at_ 0xff00; /*******************************************************/ // 串口初始化 功能函数 //void UartInit(void); //void SerialTran(unsigned char Len); /******************************************************/ uchar state; uchar temp; uchar page; uchar picture[]; uchar high; uchar low; void void init_oled(); void oled_display(uchar picture[]); void delay(uint uisec); void clear_screen(); void all_screen(uchar display_data); void word_1616(uchar p,uchar h,uchar l,uchar a);//16*16word void word_2424(uchar high,low,n); //================================================================ // 主函数 void main() unsigned char i=5; init_oled(); while(1) all_screen(0xff); // 全屏亮 delay(5000); //================================================================ //================================================================ // 读状态函数 15

16 void read_status() // 可以用 NOP 指令代替此函数 // 其他处理器系统, 可能会出现一直忙状态, 此时可以考虑用 NOP 指令代替此函数功能 DC=0; state=1; temp=1; do state=point; temp=state & 0x80; while(temp!= 0); //================================================================ //================================================================ // 延时函数 void delay(uint uisec) uchar j; while(uisec--) for(j=0;j<120;j++) ; //================================================================ //================================================================ //DWY12864I OLED 初始化函数 void init_oled() OLED CS=0; // 片选 OLED RES=0; // 复位 OLED, // 最好复位有延时 RES=1; // 最好复位后有延时 DC=0; // 送指令信号 // 以下均为初始化 OLED 内部寄存器过程, 请参考 SPEC 中的指令解释 // 此函数均可以用 NOP 指令替换, 或者保证延时 1uS point=0xae; point=0xad; point=0x8a; 16

17 point=0xa8; point=0x3f; point=0xd3; point=0x00; point=0x40; point=0xa0; point=0xc8; point=0xa6; point=0xa4; point=0x81; point=0xff;//5c; point=0xd5; point=0x60; point=0xd8; point=0x00; point=0xd9; point=0x84; point=0xaf; // 初始化设置完成后, 才能打开 OLED 显示功能 //======================================== 全屏显示函数 void all_screen(uchar display_data) uchar j; for(page=0;page<8;page++) DC=0; 17

18 point=0xb0+page; //set page address point=0x00; //set low address point=0x10; //set higher address DC = 1; for(j=0;j<128;j++) // 此函数均可以用 NOP 指令替换, 或者保证延时 1uS point = display_data; // 以下函数均验证过, 绝对正确 //====================================== 清屏函数 void clear_screen() uchar j; for(page=0;page<8;page++) DC=0; point=0xb0+page; //set page address point=0x00; //set low address point=0x10; //set higher address DC = 1; for(j=0;j<128;j++) point = 0x00; //======================================== 显示一幅图象, 在此函数中是显示数组 picture[] // void oled_display(uchar picture[]) uchar j; 18

19 for(page=0;page<8;page++) DC=0; point=0xb0+page; //set page address point=0x00; //set low address OLED point=0x10; //set higher address DC = 1; for(j=0;j<128;j++) point = picture[j+page*128]; //************************************* 显示 16X16 汉字 void word_1616(uchar p,uchar h,uchar l,uchar a)//write chinese word of1616 uchar i,j,k,m=0; k=p+2; for(i=p;i<k;i++) DC=0; point=0xb0+i; //set page address point=0x10+h; point=0x00+l; DC=1; for(j=0;j<16;j++) point=chinese[a][j+m*16]; m++; 19

20 //**************************************************** void word_2424(uchar high,low,n) //high 是地址字节的高四位 low 是地址字节的低四 位 n 是汉字数组中 // 的哪个汉字 uchar i,j,m=0; for(i=1;i<4;i++) //page number DC=0; point=0xb0+i; //set page address point=0x10+high; point=0x00+low; DC=1; for(j=0;j<24;j++) OLED point=word2424[n][j+m*24]; m++; 20

Microsoft Word - LM6029-AppNote-V0.2.doc

Microsoft Word - LM6029-AppNote-V0.2.doc LM6029 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本 描述 日期 编者 0.1 新版本 2005-11-22 PanFeng 0.2 修改 4 节指令集说明 LCD bias select 的指令描述 2006-02-10 PanFeng Ref LM6029-AppNote-V0.2.doc http//www.topwaysz.com 1 / 7 目录 1. 简介...3 2.

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP SO12864-14E (COG) VERSION10 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN 6 00-20~+70 FPC STN 6 00-20~+70 FPC STN 6 00-20~+70 FPC COG LED SO12864-14ESW 2S 30V 30~36mA SO12864-14ESB

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63>

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63> JLX12864G-109-PC 带字库 IC 的编程说明书 目 录 序号 内容标题 页码 1 概述 2 2 字型样张 : 3 3 外形尺寸及接口引脚功能 4~6 4 工作电路框图 6 5 指令 6~9 6 字库的调用方法 9~17 7 硬件设计及例程 : 18~28 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

晶联讯电子 OLED 显示模块 JLX6432OLED-049-P 更新日期 : JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性

晶联讯电子 OLED 显示模块 JLX6432OLED-049-P 更新日期 : JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性 JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性 5~6 7 指令功能及硬件接口与编程案例 7~ 页末 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子专注于液晶屏及液晶模块的研发 制造 所生产 JLX6432OLED-049

More information

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63>

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63> JLX12864G-330-PC 带字库 IC 的编程说明书 目 录 序号 内容标题 页码 1 概述 2 2 字型样张 : 3 3 外形尺寸及接口引脚功能 4~5 4 工作电路框图 5 5 指令 6~8 6 字库的调用方法 9~18 7 硬件设计及例程 : 19~ 尾页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 JLX12864G-330-PC 型液晶显示模块既可以当成普通的图像型液晶显示模块使用

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

/ / / , DOCNO: SG J REV11-2 -

/ / / , DOCNO: SG J REV11-2 - SG240128-01J LCD Version11 LED VDD SG240128SYD-01JSYE STN 80 50V 50V SG240128FPD-01JSWE FSTN 80 50V 50V SG240128SBD-01JSWE STN 80 50V 50V SG240128-01J 1 33V 2 LCM LCD 3 LED 4 1 LCD 2 3 : 010-80750102 /03

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

Microsoft Word - JLX19264G-270-BN中文说明书.doc

Microsoft Word - JLX19264G-270-BN中文说明书.doc JLX19264G-270-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~10 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-270-BN 版本

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Microsoft Word - LM1095R-AppNote-V0.3.doc

Microsoft Word - LM1095R-AppNote-V0.3.doc LM1095R 液 晶 显 示 模 块 应 用 参 考 深 圳 市 拓 普 微 科 技 开 发 有 限 公 司 版 本 描 述 日 期 编 者 0.1 新 版 本 2005-10-28 淮 俊 霞 0.2 修 改 2.4: 双 图 层 的 灰 阶 显 示 2006-01-18 淮 俊 霞 修 改 参 考 程 序 中 的 错 字 0.3 修 改 2.6: 初 始 化 寄 存 器 的 设 置 修 改 参

More information

Microsoft Word - JLX PN中文说明书

Microsoft Word - JLX PN中文说明书 JLX240-003-PN 使用说明书 ( 不带字库 IC) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX240-003-PN

More information

/ / / LED DOCNO: SG D REV11-2 -

/ / / LED DOCNO: SG D REV11-2 - SG24064-02D Version11 SG24064SYD-02DSYE LCD LED VDD STN 80 50V 50V SG24064SBD-02DSWE STN 80 50V 50V SG24064FPD-02DSWE FSTN 80 50V 50V SG24064SYD-02DSYE ND STN 80 50V 50V SG24064SBD-02DSWE ND STN 80 50V

More information

DY2402PBL_技术手册_完整版.doc

DY2402PBL_技术手册_完整版.doc DoYoung.net 电子技术 创造独立资源! 2007.10.27 DY2402PBL 24 X 2 字符型液晶显示屏模块 24 行 X 2 列字符显示,5 X 8 单字符点阵 并行 8 / 4 线数据通信 红色字符, 黑色背景, 独立 LED 背光电源 内置液晶灰度调整电路 标准 ASCII 字符库, 可自定义 8 个用户字符 品名 字符型液晶显示屏模块 型号 MBCF24204B03( 原厂

More information

Microsoft Word - FX-T8048C256A.doc

Microsoft Word - FX-T8048C256A.doc FX-T8048C256A 液晶显示控制器使用手册 一 简介...2 二 控制板接口与设置...2 1 控制板外形尺寸:...2 2 与 MPU 的接口 (J2) 引脚定义...3 3 液晶显示接口(J3) 引脚定义...5 三 指令操作说明...6 四 FX-T8048C256A 应用...6 1 直接访问方式...7 2 间接控制方式...8 3 256 色应用程序模块...10 子程序一 :

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

JLX12864G-378

JLX12864G-378 JLX12864G-378 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5~6 6 时序特性 6~9 7 指令功能及硬件接口与编程案例 9~23 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 深圳市晶联讯电子液晶模块

More information

Microsoft Word - FX-VXC256-VER1.0.doc

Microsoft Word - FX-VXC256-VER1.0.doc FX-VXCC256 VGA 控制器使用手册 Ver1.0 一 简介...2 二 控制板接口与设置...2 1 控制板外形尺寸:...2 2 与 MPU 的接口 (J2) 引脚定义...3 3 VGA 接口 (J4) 引脚定义...5 三 指令操作说明...6 四 FX-VXC256 应用...6 1 直接访问方式...7 2 间接控制方式...8 3 256 色应用程序模块...10 子程序一 :

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

1604字符点阵液晶显示模块使用说明书

1604字符点阵液晶显示模块使用说明书 第 1 页 目录 1 产品简介 3 2 引用文件 3 3 机械特性 3 4 产品框图 3 5 电气特性 4 6 极限参数 4 7 接口时序 4 8 直流特性 6 9 引脚描述 7 10 命令描述 8 11 附录 12 附录 1 程序参考 12 附录 2 字符表 15 附录 3 模块外形图 16 第 2 页 1 产品简介 主要工艺 :COB 显示内容 :4 行每行 16 个字符显示模式 :STN,POSITIVE

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

Microsoft Word - JLX12832A-3G中文说明书.doc

Microsoft Word - JLX12832A-3G中文说明书.doc 使用说明书 ( 带 PCB) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~6 5 技术参数 7 6 时序特性 7~11 7 指令功能及硬件接口 11~13 1 1. 概述 T12832M005 可以显示 128 列 *32 行点阵单色图片, 或显示 8 个 / 行 *2 行 16*16 点阵的汉字, 或显示 16 个 / 行 *4 行

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

/ / (FC 3)...

/ / (FC 3)... Modbus/TCP 1.0 1999 3 29 Andy Swales Schneider aswales@modicon.com ... 2 1.... 3 2.... 3 2.1.. 3 2.2..4 2.3..4 2.4... 5 3.... 5 3.1 0... 5 3.2 1... 5 3.3 2... 6 3.4 / /... 7 4.... 7 5.... 8 5.1 0... 9

More information

Microsoft Word - JLX2832G-908-PN中文说明书

Microsoft Word - JLX2832G-908-PN中文说明书 JLX12832G-908-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12832G-908-PN 版本 :2018-9-25

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

Microsoft Word - JLX19232G-907-PN中文说明书

Microsoft Word - JLX19232G-907-PN中文说明书 JLX19232G-907-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~6 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子专注于液晶屏及液晶模块的研发 制造 所生产 JLX19232G-907

More information

C/C++程序设计 - 字符串与格式化输入/输出

C/C++程序设计 - 字符串与格式化输入/输出 C/C++ / Table of contents 1. 2. 3. 4. 1 i # include # include // density of human body : 1. 04 e3 kg / m ^3 # define DENSITY 1. 04 e3 int main ( void ) { float weight, volume ; int

More information

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植 : C GUI MSGl9264 C GUI MSGl9264 µc GUI Micrium µc OS µc GUI * [1] µc GUI Windows µc GUI VC Windows µc GUI µc GUI µc GUI µc GUI MSGl9264 µc GUI 1 µc GUI MSP430F149 MSP430F149 16 (RISC 125ns ) ( ADC ) 2KB

More information

Microsoft Word - FG12232A.doc

Microsoft Word - FG12232A.doc 图形点阵液晶显示模块使用手册 FG2232A 广州市方舟电子有限公司 公司网站 :www.arkteck.com 地址 : 广州市天河区东圃桃园路 33 号 5 楼电话 :2-82574878 82574677 8854485 传真 :82574878 82574677 分机 88 目 录 ( 一 ) 基本特性 () ( 二 ) 原理图 (2) ( 三 ) 限定参数 (2) ( 四 ) 直流特性 (2)

More information

目录 1. 型号说明 产品选型 OLED 显示屏简介 HTDS 系列 OLED 模块具有以下特点 : OLED 显示屏的细节与显示效果 技术说明 SPI 版技术说明 IIC 版技

目录 1. 型号说明 产品选型 OLED 显示屏简介 HTDS 系列 OLED 模块具有以下特点 : OLED 显示屏的细节与显示效果 技术说明 SPI 版技术说明 IIC 版技 HelTec Automation PRODUCT TECHNICAL DATA HTDS 系列 单色 OLED 显示屏 128x64 点阵显示 低功耗 无需背光 高对比度 内置驱动芯片 OLED Model Rev 0.1 P 1 / 15 Aug 2015 Produced by HelTec Automation Limited 目录 1. 型号说明... 3 2. 产品选型... 4 3.

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc 2 5 8 11 0 13 1. 13 2. 15 3. 18 1 23 1. 23 2. 26 3. 28 2 36 1. 36 2. 39 3. 42 4. 44 5. 49 6. 51 3 57 1. 57 2. 60 3. 64 4. 66 5. 70 6. 75 7. 83 8. 85 9. 88 10. 98 11. 103 12. 108 13. 112 4 115 1. 115 2.

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

Microsoft Word - JLX1602A-4中文说明书.doc

Microsoft Word - JLX1602A-4中文说明书.doc JLX1602A-4 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 字符型模块的特点 2 3 外形及接口引脚功能 3-6 4 基本原理 7-10 5 技术参数 10 6 时序特性 11-13 7 指令功能 13- 末页 电话 0755-29784961 Http//www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX1602A-4 版本 B 晶联讯电子专注于液晶屏及液晶模块的研发

More information

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 5 6 7 38 ( ) VS 3 100 ( ) MM MM ! 1 2003 VS . MM MM MM MM MM MM MM CS MM CS MM MM ! ? % Y O [ ] Y O [ ] [ ] [ ] MM MM

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

Microsoft Word - LM2068S-AppNote-V0.1.doc

Microsoft Word - LM2068S-AppNote-V0.1.doc LM2068S 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本 描述 日期 编者 0.1 新版本 2006-08-10 淮俊霞 http://www.topwaysz.com 1 / 8 目录 1. 简介...3 2. 电路连接原理简图...3 3. 指令操作...3 4. 指令集说明...4 5. 显示内存及显示区的合成...5 6. 流程图...6 参考程序...7 http://www.topwaysz.com

More information

C 1 # include <stdio.h> 2 int main ( void ) { 4 int cases, i; 5 long long a, b; 6 scanf ("%d", & cases ); 7 for (i = 0;i < cases ;i ++) 8 { 9

C 1 # include <stdio.h> 2 int main ( void ) { 4 int cases, i; 5 long long a, b; 6 scanf (%d, & cases ); 7 for (i = 0;i < cases ;i ++) 8 { 9 201 201 21 ( ) 1. C pa.c, pb.c, 2. C++ pa.cpp, pb.cpp Compilation Error long long cin scanf Time Limit Exceeded 1: A 1 B 1 C 5 D RPG 10 E 10 F 1 G II 1 1 201 201 C 1 # include 2 int main ( void

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

基于 Zynq 的 OLED 驱动设计 OLED 具备自发光 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异特性, 被认为是下一代的平面显示器新兴应用技术 目前 OLED 的驱动大部分都是基于 STM 系列 ARM 芯片和传统 FPGA 芯

基于 Zynq 的 OLED 驱动设计 OLED 具备自发光 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异特性, 被认为是下一代的平面显示器新兴应用技术 目前 OLED 的驱动大部分都是基于 STM 系列 ARM 芯片和传统 FPGA 芯 基于 Zynq 的 OLED 驱动设计 OLED 具备自发光 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异特性, 被认为是下一代的平面显示器新兴应用技术 目前 OLED 的驱动大部分都是基于 STM 系列 ARM 芯片和传统 FPGA 芯片 为适应 Xilinx 最新平台 Zynq 的人机交互需要, 提出一种基于 Zynq 的 OLED

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

C/C++ - 函数

C/C++ - 函数 C/C++ Table of contents 1. 2. 3. & 4. 5. 1 2 3 # include # define SIZE 50 int main ( void ) { float list [ SIZE ]; readlist (list, SIZE ); sort (list, SIZE ); average (list, SIZE ); bargragh

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

资源库建设方案(11月14最新)

资源库建设方案(11月14最新) 职 业 教 育 专 业 教 学 资 源 库 项 目 建 方 案 专 业 名 称 : 所 属 专 业 大 类 名 称 : 所 属 专 业 类 名 称 : 项 目 主 持 单 位 ( 盖 章 ): 项 目 主 持 人 : 电 气 自 动 化 技 术 制 造 大 类 自 动 化 淄 博 职 业 学 院 杨 百 梅 联 合 申 报 单 位 : 深 圳 职 业 技 术 学 院 邢 台 职 业 技 术 学 院

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

Ps22Pdf

Ps22Pdf 1 1 1.?? 1 2.?? 1 3.? 1 4.? 1 5.? 1 6.? 2 7.? 2 8.? 2 9.? 2 10.? 3 11.? 3 12.? 3 13.? 3 14.? 4 15.? 4 16.? 4 1 17.? 4 18.,? 4 19.? 4 20.? 5 21.? 5 22.? 5 23.? 6 24.,? 6 25.,? 6 26. ( ),? 6 27.,? 6 28.?

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

逢 甲 大 學

逢 甲 大 學 Ultrasound radar system - i - - ii - The ultrasound radar system is on the basis of the Doppler Effect. In the incessant acoustic wave actuator, emitting to object. Some acoustic wave which impacted the

More information

an153f

an153f 153 2016 1 Linduino Michael Jones (PSM) LTpowerPlay (BMC) PSM PSM PMBus PMBus SMBus SMBus I2C PSM BMC Linduino (API) PSM Linduino PSM BMC BMC Robust PMBus System Software for the LTC3880) I 2 C / SMBus

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

柳州化工股份有限公司

柳州化工股份有限公司 柳 州 化 工 股 份 有 限 公 司 2013 年 度 内 部 控 制 自 我 评 价 报 告 柳 州 化 工 股 份 有 限 公 司 全 体 股 东 : 根 据 企 业 内 部 控 制 基 本 规 范 及 其 配 套 指 引 的 规 定 和 其 他 内 部 控 制 监 管 要 求 ( 以 下 简 称 企 业 内 部 控 制 规 范 体 系 ), 结 合 本 公 司 ( 以 下 简 称 公 司 )

More information

6020

6020 6020 ... 1 1.1... 1 1.2... 1 1.3 6020... 2 1.3... 5 1.3.1... 5 1.3.2 ISA I/O (S1)... 5 1.3.3 (J4,5,6)... 6 1.3.4... 6... 9 2.1... 9 2.2... 9 2.3 COMPILING AND LINKING... 11 2.3.1 MICROSOFT C MICROSOFT

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

untitled

untitled 不 料 料 例 : ( 料 ) 串 度 8 年 數 串 度 4 串 度 數 數 9- ( ) 利 數 struct { ; ; 數 struct 數 ; 9-2 數 利 數 C struct 數 ; C++ 數 ; struct 省略 9-3 例 ( 料 例 ) struct people{ char name[]; int age; char address[4]; char phone[]; int

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

合 作 就 是 力 量 得 獎 者 : 張 毓 婷 指 導 老 師 : 李 郁 棻 一 塊 香 甜 又 酥 脆 的 餅 乾 屑 掉 在 地 上, 首 先 出 來 偵 查 的 螞 蟻 並 不 自 己 獨 佔, 反 而 伸 伸 觸 角, 將 美 食 的 訊 息 告 知 其 他 螞 蟻, 不 久 螞 蟻

合 作 就 是 力 量 得 獎 者 : 張 毓 婷 指 導 老 師 : 李 郁 棻 一 塊 香 甜 又 酥 脆 的 餅 乾 屑 掉 在 地 上, 首 先 出 來 偵 查 的 螞 蟻 並 不 自 己 獨 佔, 反 而 伸 伸 觸 角, 將 美 食 的 訊 息 告 知 其 他 螞 蟻, 不 久 螞 蟻 想 要 與 需 要 得 獎 者 : 陳 印 義 指 導 老 師 : 李 郁 棻 你 是 否 曾 有 眼 紅 的 時 候? 看 到 別 人 優 渥 的 物 質 生 活, 便 心 生 羨 慕 也 想 要 得 到, 但 想 要 和 需 要 是 不 一 樣 的 有 人 說 : 欲 望 是 一 把 火, 要 滿 足 它 不 在 於 增 加 柴 火, 而 在 於 減 少 火 人 們 需 要 不 多, 想 要 的

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information