晶联讯电子 OLED 显示模块 JLX6432OLED-049-P 更新日期 : JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性

Size: px
Start display at page:

Download "晶联讯电子 OLED 显示模块 JLX6432OLED-049-P 更新日期 : JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性"

Transcription

1 JLX6432-OLED-049-P 中文使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4 5 技术参数 4~5 6 时序特性 5~6 7 指令功能及硬件接口与编程案例 7~ 页末 电话 :

2 1. 概述 晶联讯电子专注于液晶屏及液晶模块的研发 制造 所生产 JLX6432OLED-049 型液晶模块由于使用方便 显示清晰, 广泛应用于各种人机交流面板 JLX6432OLED-049 可以显示 64 列 *32 行点阵单色图片, 或显示 16*16 点阵的汉字 4 个 *2 行, 或显示 8*16 点阵的英文 数字 符号 8 个 *2 行 或显示 5*8 点阵的英文 数字 符号 12 个 *4 行 2.JLX6432OLED-049 图像型点阵液晶模块的特性 2.1 结构牢 : 焊接式 FPC 2.2 IC 采用 SSD1306, 功能强大, 稳定性好 2.3 功耗低 2.4 显示内容 : 64*32 点阵单色图片 ; 可选用 16*16 点阵或其他点阵的图片来自编汉字, 按照 16*16 点阵汉字来计算可显示 4 字 / 行 *2 行 按照 12*12 点阵汉字来计算可显示 5 字 / 行 *2 行 2.5 指令功能强 : 可组合成各种输入 显示 移位方式以满足不同的要求 ; 2.6 接口方式 : I 2 C 接口 2.7 工作温度宽 : ; 2.8 储存温度宽 : ; 电话 :

3 3. 外形尺寸及接口引脚功能 3.1 外形图 图 1. 液晶模块外形尺寸 电话 :

4 模块的接口引脚功能 引线号 符号 名称 功能 1 VSS 接地 0V 2 VDD 电源电路 5V, 或 3.3V 可选 3 SCL I/0 串行时钟 4 SDA I/0 串行数据 表 1: 模块的接口引脚功能 4. 基本原理 4.1 液晶屏 (LCD) 在 LCD 上排列着 64X32 点阵,64 个列信号与驱动 IC 相连,32 个行信号也与驱动 IC 相连,IC 邦定在 LCD 玻璃上 ( 这种加工工艺叫 COG). 电路框图 5. 技术参数 5.1 最大极限参数 ( 超过极限参数则会损坏液晶模块 ) 名称 符号 标准值 单位 最小 典型 最大 电路电源 VDD - VSS V LCD 驱动电压 VDD V0 VDD VDD V 静电电压 100 V 电话 :

5 工作温度 储存温度 表 2: 最大极限参数 5.2 直流 (DC) 参数名称 符号 测试条件 标准值 单位 MIN TYPE MAX 工作电压 ( 当 VDD V 3.3V 供电时 ) 工作电压 ( 当 V 5.0V 供电时 ) 输入高电平 VIHC 0.8xVDD VDD V 输入低电平 VILC VSS 0.2xVDD V 输出高电平 VOHC IOH = 0.2mA 0.8xVDD VDD V 输出低电平 VOHC IO0 = 1.2mA VSS 0.2xVDD V 模块工作电流 IDD VDD = 3.3V 0.3 ma 表 3: 直流 (DC) 参数 6. 读写时序特性 6.1 I2C 接口 : 从 CPU 写到 SSD1306(Writing Data from CPU to SSD1306) 图 4. 从 CPU 写到 SSD1306(Writing Data from CPU to SSD1306) 6.2 I2C 接口 : 时序要求 (AC 参数 ): 写数据到 SSD1306 的时序要求 : 表 4. 项目 符号 测试条件 极限值 单位 MIN TYPE MAX 时钟周期时间 tcycle 2.5 μs 启动条件的保持时间 thstart 0.6 μs 数据保持时间 ( sdaout 销 ) thd 0 ns 数据保持时间 ( sdain 销 ) 300 ns 数据建立时间 tsd 100 μs 启动条件设置时间 ( 只有一个重复起始条件有关 ) tsstart 0.6 μs 停止条件建立时间 Tsstop 0.6 μs 下降时间数据和时钟引脚 Tr 300 ns 电话 :

6 上升时间的数据和时钟引 Tf 300 ns 脚 在一个新的传输可以开始空闲时间 Tidle 1.3 μs *(VDD =1.65V~3.3V,Ta = 25 ) 6.3 电源启动后复位的时序要求 (RESET CONDITION AFTER POWER UP): 图 7: 电源启动后复位的时序 表 6: 电源启动后复位的时序要求 项目 符号 测试条件 极限值 单位 MIN TYPE MAX 复位时间 tr 1.0 us 复位保持低电平的时间 trw 引脚 :RES 1.0 us 电话 :

7 7. 指令功能 : 7.1 指令表 指令名称 指 令 码 说 明 RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 (1) 显示开 / 关 (display on/off) 显示开 / 关 : 0XAE: 关,0XAF: 开 (2) 显示初始行设置 (Display start line set) 显示初始行地址, 共 6 位 设置显示存储器的显示初始行, 可设置值为 0X40~0X7F, 分别代表第 0~63 行, 针对该液晶屏一般设置为 0x40 (3) 页地址设置 (Page address set) 显示页地址, 共 4 位 设置页地址 每 8 行为一个页,64 行分为 8 个页, 可设置值为 :0XB0~0XB8 分别对应第一页到第九页, 第九页是一个单独的一行图标, 本液晶屏没有这一行图标, 所以设置值为 0XB0~0XB7 分别对应第一页 ~ 第八页 (4) 列地址高 4 位设置 列地址的高 4 位 高 4 位与低 4 位共同组成列地址, 指定 128 列中的其中一列 比如液晶模块的第 100 列 列地址低 4 位设置 列地址的低 4 位 (5) 读状态 (Status read) (6) 写显示数据到液晶屏 ( Display data write) (7) 读液晶屏的显示数据 (Display data read) (8) 显示列地址增减 (ADC select) (9) 显示正显 / 反显 (Display normal/reverse) (10) 显示全部点阵 (Display all points) (11) 行扫描顺序选择 (Common output mode select) (12)OLED 振荡频率设置 (Oscillator Frequency) 地址十六进制为 0x64, 那么此指令由 2 个字节来表达 :0x16,0x04 0 状态 并口时 : 读驱动 IC 的当前状态, 串口时不能 用此指令 1 8 位显示数据 从 CPU 写数据到液晶屏, 每一位对应一个 点阵,1 个字节对应 8 个竖置的点阵 1 8 位显示数据 并口时 : 读已经显示到液晶屏上的点阵数 据 串口时不能用此指令 显示列地址增减 : 0xA0: 反转 : 列地址从右到左, 0xA1: 常规 : 列地址从左到右 显示正显 / 反显 : 0xA6: 常规 : 正显 0xA7: 反显 显示全部点阵 : 0xA4: 常规 0xA5: 显示全部点阵 行扫描顺序选择 : 0XC0: 普通扫描顺序 : 从上到下 0XC8: 反转扫描顺序 : 从下到上 设置振荡频率 : 范围 : , 参考指令 :0Xd5 0X80 电话 :

8 (13) 电源控制 (Power control set) 设置升压 :0X8d 0X14 设置内部电阻微调, 可以理解为微调对比度内部设置液晶 值, 此两个指令需紧接着使用 上面一条指电压模式 (14) 令 0x81 是不改的, 下面一条指令可设置范 设置的电压值 位电压值数据,0~63 共 64 级 围为 :0x00~0xFF, 数值越大对比度越浓, 越小越淡 (15) 静态图标显示 : 开 / 关 静态图标的开关设置 : 0xAE: 关, 0xAF: 开 此指令在进入及退出睡眠模式时起作用 (16) 省电模式 (Power save) 省电模式, 此非一条指令, 是由 (10) 显示全部点阵 (19) 静态图标显示 : 开 / 关等指令合成一个 省电功能 详细看 IC 规格书 POWER SAVE 部分 (17) 空指令 ( NOP) 空操作 7.2 初始化方法用户所编的显示程序, 开始必须进行初始化, 否则模块无法正常显示, 过程请参考程序 电话 :

9 7.3 程序举例 : 液晶模块与 MPU( 以 8051 系列单片机为例 ) 接口图如下 : 图 8. 串行接口 电话 :

10 7.3.1 程序 // 液晶演示程序 // 液晶模块型号 :JLX6432OLED-049,IIC 接口! // 驱动 IC 是 :SSD1306 // 编写 : 叶建人,8 月 15 日,2014 年 // 版权所有 : 晶联讯电子 : 网址 #include <reg52.h> #include <intrins.h> #include <string.h> #include <stdio.h> sbit SCL =P3^2; // 接口定义 :lcd_sclk 就是 LCD 的 SCLK //SCLK 接到 D0 脚 sbit SDA =P3^1; // 接口定义 :lcd_sda 就是 LCD 的 SDA //SDIN 接到 D1 脚 sbit key=p2^0; // 定义一个按键 :P2.0 口与 GND 之间接一个按键 #define uchar unsigned char #define uint unsigned int #define ulong unsigned long #include <ASCII_CODE_8X16_5X8_VERTICAL.H> #include <Chinese_And_Graphic.H> void start(); void stop(); void // 延时 void delay(int i) int j,k; for(j=0;j<i;j++) for(k=0;k<110;k++); void write_w(unsigned char dat) unsigned char m,da; unsigned char j; da=dat; 电话 :

11 for(j=0;j<8;j++) m=da; SCL=0; m=m&0x80; if(m==0x80) SDA=1; else SDA=0; da=da<<1; SCL=1; SCL=0; SCL=1; void transfer_command(unsigned char ins) start(); write_w(0x78); write_w(0x00); write_w(ins); stop(); void transfer_data(unsigned char dat) start(); write_w(0x78); write_w(0x40); write_w(dat); stop(); void start() SCL=1; SDA=1; SDA=0; SCL=0; 电话 :

12 void stop() SCL=0; SDA=0; SDA=1; SCL=1; //OLED 显示模块初始化 void initial_lcd() transfer_command(0xae); // 关显示 transfer_command(0xd5); // 晶振频率 transfer_command(0x80); transfer_command(0xa8); //duty 设置 transfer_command(0x3f); //duty=1/64 transfer_command(0xd3); // 显示偏移 transfer_command(0x00); transfer_command(0x40); // 起始行 transfer_command(0x8d); // 升压允许 transfer_command(0x14); transfer_command(0x20); //page address mode transfer_command(0x02); transfer_command(0xc8); // 行扫描顺序 : 从上到下 transfer_command(0xa1); // 列扫描顺序 : 从左到右 transfer_command(0xda); //sequential configuration transfer_command(0x12); transfer_command(0x81); // 微调对比度, 本指令的 0x81 不要改动, 改下面的值 电话 :

13 transfer_command(0xcf); // 微调对比度的值, 可设置范围 0x00~0xff transfer_command(0xd9); //Set Pre-Charge Period transfer_command(0xf1); transfer_command(0xdb); //Set VCOMH Deselect Level transfer_command(0x40); transfer_command(0xaf); // 开显示 void lcd_address(uchar page,uchar column) column=column-1; // 我们平常所说的第 1 列, 在 LCD 驱动 IC 里是第 0 列 所以在这里减去 1. page=page-1; transfer_command(0xb0+page); // 设置页地址 每页是 8 行 一个画面的 64 行被分成 8 个页 我们平常所说的第 1 页, 在 LCD 驱动 IC 里是第 0 页, 所以在这里减去 1 transfer_command(((column>>4)&0x0f)+0x12); // 设置列地址的高 4 位 transfer_command(column&0x0f); // 设置列地址的低 4 位 // 全屏清屏 void clear_screen() unsigned char i,j; for(j=0;j<8;j++) lcd_address(1+j,1); for(i=0;i<64;i++) transfer_data(0x00); //full display test void full_display(uchar data1,uchar data2) 电话 :

14 int i,j; for(i=0;i<8;i++) lcd_address(i+1,1); for(j=0;j<32;j++) transfer_data(data1); transfer_data(data2); // 测试外框是否缺划 ( 少行 少列 ) void test_box() int i,j; // 第 1 页 : lcd_address(1,1); transfer_data(0xff); for(i=1;i<31;i++) transfer_data(0x01); transfer_data(0xff); // 第 2 页 : lcd_address(2,1); transfer_data(0xff); for(i=1;i<31;i++) transfer_data(0x80); transfer_data(0xff); // 第 3 页 : lcd_address(3,1); transfer_data(0xff); for(i=1;i<31;i++) transfer_data(0x01); transfer_data(0xff); // 第 4 页 ~ 第 7 页 : 电话 :

15 for(j=4;j<=7;j++) lcd_address(2,1); transfer_data(0xff); for(i=1;i<31;i++) transfer_data(0x00); transfer_data(0xff); // 第 8 页 : lcd_address(4,1); transfer_data(0xff); for(i=1;i<31;i++) transfer_data(0x80); transfer_data(0xff); // 测试 void test() full_display(0xff,0xff); full_display(0x55,0x55); full_display(0xaa,0xaa); full_display(0xff,0x00); full_display(0x00,0xff); full_display(0x55,0xaa); full_display(0xaa,0x55); test_box(); void display_64x32(uchar page,uchar column,uchar *dp) 电话 :

16 uint i,j; for(j=0;j<4;j++) //lcd_address(j+1,1); lcd_address(page+j,column); for (i=0;i<64;i++) transfer_data(*dp); 址自动加 1 dp++; // 写数据到 LCD, 每写完一个 8 位的数据后列地 void display_graphic_32x32(uchar page,uchar column,uchar *dp) uchar i,j; for(j=0;j<4;j++) lcd_address(page+j,column); for (i=0;i<32;i++) transfer_data(*dp); // 写数据到 LCD, 每写完一个 8 位的数据后列地址自动加 1 dp++; void display_string_8x16(uint page,uint column,uchar *text) uint i=0,j,k,n; if(column>123) column=1; page+=2; while(text[i]>0x00) if((text[i]>=0x20)&&(text[i]<=0x7e)) j=text[i]-0x20; 电话 :

17 for(n=0;n<2;n++) lcd_address(page+n,column); for(k=0;k<8;k++) transfer_data(ascii_table_8x16[j][k+8*n]); // 写数据到 LCD, 每写完 1 字节的数据后列地址自动加 1 i++; column+=8; else i++; // 写入一组 16x16 点阵的汉字字符串 ( 字符串表格中需含有此字 ) // 括号里的参数 :( 页, 列, 汉字字符串 ) void display_string_16x16(uchar page,uchar column,uchar *text) uchar i,j,k; uint address; j=0; while(text[j]!= '\0') i=0; address = 1; while(chinese_text_16x16[i] > 0x7e) // >0x7f 即说明不是 ASCII 码字符 if(chinese_text_16x16[i] == text[j]) if(chinese_text_16x16[i + 1] == text[j + 1]) address =i*16; break; i+=2; if(column > 113) 电话 :

18 column = 0; page += 2; if(address!= 1)// 显示汉字 for(k=0;k<2;k++) lcd_address(page+k,column); for(i = 0; i < 16; i++) transfer_data(chinese_code_16x16[address]); address++; j+=2; else // 显示空白字符 for(k=0;k<2;k++) lcd_address(page+k,column); for(i = 0; i < 16; i++) transfer_data(0x00); j++; column+=16; // 显示 16x16 点阵的汉字或者 ASCII 码 8x16 点阵的字符混合字符串 // 括号里的参数 :( 页, 列, 字符串 ) void disp_string_8x16_16x16(uchar page,uchar column,uchar *text) uchar temp[3]; 电话 :

19 uchar i=0; while(text[i]!= '\0') if(text[i] > 0x7e) temp[0] = text[i]; temp[1] = text[i + 1]; temp[2] = '\0'; // 汉字为两个字节 display_string_16x16(page,column,temp); // 显示汉字 column += 16; i+=2; else temp[0] = text[i]; temp[1] = '\0'; // 字母占一个字节 display_string_8x16(page, column, temp); // 显示字母 column += 8; i++; void main(void) while(1) initial_lcd(); // 初始化 clear_screen(); // 清屏 display_64x32(5,1,bmp6432_1); clear_screen(); display_64x32(5,1,bmp6432_2); clear_screen(); display_64x32(5,1,bmp6432_3); clear_screen(); // 清屏 // 演示 32x32 点阵的汉字,16x16 点阵的汉字,8x16 点阵的字符,5x8 点阵的字符 display_graphic_32x32 (5,1+32*0,jing1); // 显示单个 32x32 点阵的汉字, 括号里的参数分别为 (PAGE, 列, 字符指针 ) 电话 :

20 clear_screen(); display_graphic_32x32 (5,1+32*1,lian1); clear_screen(); display_graphic_32x32 (5,1+32*0,xun1); clear_screen(); disp_string_8x16_16x16(7,1," "); disp_string_8x16_16x16(5,1+32*0,"jlx:"); disp_string_8x16_16x16(5,1+32*1,"oled"); test(); // 等待按键 :P2.0 口与 GND 之间接一个按键 void waitkey() repeat: if(key==1) goto repeat; else delay(2000); 电话 :

Microsoft Word - JLX2832G-908-PN中文说明书

Microsoft Word - JLX2832G-908-PN中文说明书 JLX12832G-908-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12832G-908-PN 版本 :2018-9-25

More information

Microsoft Word - JLX19232G-907-PN中文说明书

Microsoft Word - JLX19232G-907-PN中文说明书 JLX19232G-907-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~6 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子专注于液晶屏及液晶模块的研发 制造 所生产 JLX19232G-907

More information

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63>

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63> JLX12864G-330-PC 带字库 IC 的编程说明书 目 录 序号 内容标题 页码 1 概述 2 2 字型样张 : 3 3 外形尺寸及接口引脚功能 4~5 4 工作电路框图 5 5 指令 6~8 6 字库的调用方法 9~18 7 硬件设计及例程 : 19~ 尾页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 JLX12864G-330-PC 型液晶显示模块既可以当成普通的图像型液晶显示模块使用

More information

Microsoft Word - JLX PN中文说明书

Microsoft Word - JLX PN中文说明书 JLX240-003-PN 使用说明书 ( 不带字库 IC) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX240-003-PN

More information

Microsoft Word - JLX19264G-270-BN中文说明书.doc

Microsoft Word - JLX19264G-270-BN中文说明书.doc JLX19264G-270-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~10 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-270-BN 版本

More information

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63>

<4D F736F F D204A4C D D5043B5C4D6D0CEC4D7D6BFE2B1E0B3CCCBB5C3F7CAE92E646F63> JLX12864G-109-PC 带字库 IC 的编程说明书 目 录 序号 内容标题 页码 1 概述 2 2 字型样张 : 3 3 外形尺寸及接口引脚功能 4~6 4 工作电路框图 6 5 指令 6~9 6 字库的调用方法 9~17 7 硬件设计及例程 : 18~28 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

JLX12864G-378

JLX12864G-378 JLX12864G-378 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5~6 6 时序特性 6~9 7 指令功能及硬件接口与编程案例 9~23 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 深圳市晶联讯电子液晶模块

More information

Microsoft Word - JLX12832A-3G中文说明书.doc

Microsoft Word - JLX12832A-3G中文说明书.doc 使用说明书 ( 带 PCB) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~6 5 技术参数 7 6 时序特性 7~11 7 指令功能及硬件接口 11~13 1 1. 概述 T12832M005 可以显示 128 列 *32 行点阵单色图片, 或显示 8 个 / 行 *2 行 16*16 点阵的汉字, 或显示 16 个 / 行 *4 行

More information

Microsoft Word - LM6029-AppNote-V0.2.doc

Microsoft Word - LM6029-AppNote-V0.2.doc LM6029 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本 描述 日期 编者 0.1 新版本 2005-11-22 PanFeng 0.2 修改 4 节指令集说明 LCD bias select 的指令描述 2006-02-10 PanFeng Ref LM6029-AppNote-V0.2.doc http//www.topwaysz.com 1 / 7 目录 1. 简介...3 2.

More information

Microsoft Word - JLX6464G-720-BN中文说明书

Microsoft Word - JLX6464G-720-BN中文说明书 JLX6464G-720-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX6464G-720-BN 更新日期 :2018-01-25

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

Microsoft Word - JLX12864G-360-BN中文说明书.doc

Microsoft Word - JLX12864G-360-BN中文说明书.doc JLX12864G-360-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 5~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12864G-360-BN 更新日期

More information

Microsoft Word - JLX19264G-333-PN中文说明书

Microsoft Word - JLX19264G-333-PN中文说明书 JLX19264G-333-PN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~5 5 技术参数 5 6 时序特性 6~7 7 指令功能及硬件接口与编程案例 7~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-333-PN 版本 :2016-11-16

More information

Microsoft Word - JLX19264G-270-BN中文说明书

Microsoft Word - JLX19264G-270-BN中文说明书 JLX19264G-270-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~10 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX19264G-270-BN 版本

More information

Microsoft Word - JLX12864G-710-BN中文说明书

Microsoft Word - JLX12864G-710-BN中文说明书 JLX12864G-710-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 5 5 技术参数 5~6 6 时序特性 6~1 7 指令功能及硬件接口与编程案例 11~ 末 页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX12864G-710-BN 版本

More information

Microsoft Word - JLX1602A-4中文说明书.doc

Microsoft Word - JLX1602A-4中文说明书.doc JLX1602A-4 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 字符型模块的特点 2 3 外形及接口引脚功能 3-6 4 基本原理 7-10 5 技术参数 10 6 时序特性 11-13 7 指令功能 13- 末页 电话 0755-29784961 Http//www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX1602A-4 版本 B 晶联讯电子专注于液晶屏及液晶模块的研发

More information

Microsoft Word - JLX320240G-905-BN说明书

Microsoft Word - JLX320240G-905-BN说明书 JLX320240G-905-BN 使用说明书 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3-6 4 电路框图 背光参数 7 5 技术参数 7-8 6 时序特性 8-12 7 指令表及硬件接口 编程案例 13- 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 概述 晶联讯电子液晶模块 JLX320240G-905-BN

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP SO12864-14E (COG) VERSION10 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN 6 00-20~+70 FPC STN 6 00-20~+70 FPC STN 6 00-20~+70 FPC COG LED SO12864-14ESW 2S 30V 30~36mA SO12864-14ESB

More information

Microsoft Word - JLX PC中文说明书

Microsoft Word - JLX PC中文说明书 JLX350-019-PC 使用说明书 ( 带字库 IC) 目 录 序号 内容标题 页码 1 字库 2~3 2 外形及接口引脚功能 4~5 3 基本原理 5 4 技术参数 5~6 5 指令功能及硬件接口与编程案例 6~ 末页 电话 :0755-29784961 Http://www.jlxlcd.cn 1 1. 字库 字库 IC(IC 型号 :JLX-GB2312-3205, 此 IC 为可选的配件

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

深圳市景润达电子有限公司

深圳市景润达电子有限公司 深圳市景润达电子有限公司电话 :0755-26042986/13537695550 E-mali:jrd_lcm@126.com 联系人 : 蒋桂儒 ( 先生 ) 地址 : 深圳市宝安广深路西乡段 373 号西乡宾山工业区深航工贸大厦 2 楼. OLED12864L OLED12864L-02(3V) OLED12864L-04(3V) OLED12864L-03(5V) OLED12864L-05(5V)

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

Microsoft Word - LM1095R-AppNote-V0.3.doc

Microsoft Word - LM1095R-AppNote-V0.3.doc LM1095R 液 晶 显 示 模 块 应 用 参 考 深 圳 市 拓 普 微 科 技 开 发 有 限 公 司 版 本 描 述 日 期 编 者 0.1 新 版 本 2005-10-28 淮 俊 霞 0.2 修 改 2.4: 双 图 层 的 灰 阶 显 示 2006-01-18 淮 俊 霞 修 改 参 考 程 序 中 的 错 字 0.3 修 改 2.6: 初 始 化 寄 存 器 的 设 置 修 改 参

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

1604字符点阵液晶显示模块使用说明书

1604字符点阵液晶显示模块使用说明书 第 1 页 目录 1 产品简介 3 2 引用文件 3 3 机械特性 3 4 产品框图 3 5 电气特性 4 6 极限参数 4 7 接口时序 4 8 直流特性 6 9 引脚描述 7 10 命令描述 8 11 附录 12 附录 1 程序参考 12 附录 2 字符表 15 附录 3 模块外形图 16 第 2 页 1 产品简介 主要工艺 :COB 显示内容 :4 行每行 16 个字符显示模式 :STN,POSITIVE

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

/ / / , DOCNO: SG J REV11-2 -

/ / / , DOCNO: SG J REV11-2 - SG240128-01J LCD Version11 LED VDD SG240128SYD-01JSYE STN 80 50V 50V SG240128FPD-01JSWE FSTN 80 50V 50V SG240128SBD-01JSWE STN 80 50V 50V SG240128-01J 1 33V 2 LCM LCD 3 LED 4 1 LCD 2 3 : 010-80750102 /03

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

DY2402PBL_技术手册_完整版.doc

DY2402PBL_技术手册_完整版.doc DoYoung.net 电子技术 创造独立资源! 2007.10.27 DY2402PBL 24 X 2 字符型液晶显示屏模块 24 行 X 2 列字符显示,5 X 8 单字符点阵 并行 8 / 4 线数据通信 红色字符, 黑色背景, 独立 LED 背光电源 内置液晶灰度调整电路 标准 ASCII 字符库, 可自定义 8 个用户字符 品名 字符型液晶显示屏模块 型号 MBCF24204B03( 原厂

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

/ / / LED DOCNO: SG D REV11-2 -

/ / / LED DOCNO: SG D REV11-2 - SG24064-02D Version11 SG24064SYD-02DSYE LCD LED VDD STN 80 50V 50V SG24064SBD-02DSWE STN 80 50V 50V SG24064FPD-02DSWE FSTN 80 50V 50V SG24064SYD-02DSYE ND STN 80 50V 50V SG24064SBD-02DSWE ND STN 80 50V

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

穨2700使用手冊.doc

穨2700使用手冊.doc Keithley 2700 13 CH Avg Ratio continuity Offset Compensation Ohms 80 (differential) 6 (22 ) (Half-rack size) 1000V/3A isolation/input 50000 EEE-488 RS-232 Digital I/O Trigger Link ActiveX Start-up software

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Microsoft Word - LM2068S-AppNote-V0.1.doc

Microsoft Word - LM2068S-AppNote-V0.1.doc LM2068S 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本 描述 日期 编者 0.1 新版本 2006-08-10 淮俊霞 http://www.topwaysz.com 1 / 8 目录 1. 简介...3 2. 电路连接原理简图...3 3. 指令操作...3 4. 指令集说明...4 5. 显示内存及显示区的合成...5 6. 流程图...6 参考程序...7 http://www.topwaysz.com

More information

Microsoft Word - FG12232A.doc

Microsoft Word - FG12232A.doc 图形点阵液晶显示模块使用手册 FG2232A 广州市方舟电子有限公司 公司网站 :www.arkteck.com 地址 : 广州市天河区东圃桃园路 33 号 5 楼电话 :2-82574878 82574677 8854485 传真 :82574878 82574677 分机 88 目 录 ( 一 ) 基本特性 () ( 二 ) 原理图 (2) ( 三 ) 限定参数 (2) ( 四 ) 直流特性 (2)

More information

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植 : C GUI MSGl9264 C GUI MSGl9264 µc GUI Micrium µc OS µc GUI * [1] µc GUI Windows µc GUI VC Windows µc GUI µc GUI µc GUI µc GUI MSGl9264 µc GUI 1 µc GUI MSP430F149 MSP430F149 16 (RISC 125ns ) ( ADC ) 2KB

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

逢甲大學

逢甲大學 論 Pedometer 寧 葉 年 ii 老 寧 識 更 年 老 更 料 利 老 iii 了不 利 8051 8051 令 便 理 行 8051 數 路 流 行 論 iv Abstract This is the era that health is inseparable from manufacturing process. No high-tech manufacturer can survive

More information

FY.DOC

FY.DOC 高 职 高 专 21 世 纪 规 划 教 材 C++ 程 序 设 计 邓 振 杰 主 编 贾 振 华 孟 庆 敏 副 主 编 人 民 邮 电 出 版 社 内 容 提 要 本 书 系 统 地 介 绍 C++ 语 言 的 基 本 概 念 基 本 语 法 和 编 程 方 法, 深 入 浅 出 地 讲 述 C++ 语 言 面 向 对 象 的 重 要 特 征 : 类 和 对 象 抽 象 封 装 继 承 等 主

More information

KeDrive for Motion Catalogue to print_without_bleedmark.pdf

KeDrive for Motion Catalogue to print_without_bleedmark.pdf KeDrive for Motion KeDrive D3 4 6 10 14 16 20 22 26 28 32 34 36 38 40 cross-axis 1-2- 3-300% KeDrive for Motion DU DP DA DU 345Nm KeStudio 24V link, DriveLink 5000Nm EMC DP 5000Nm DC 24V DA + SMM * KeDrive

More information

LBS 行 200 /0 /2 3. 行 ALFA AUTO. MACHINERY CO. LTD

LBS 行 200 /0 /2 3. 行 ALFA AUTO. MACHINERY CO. LTD LBS 行 200/0/2 3. 行 ALFA AUTO. MACHINERY CO. LTD 錄 1. 0-1 錄 1.1... 1-1 1.2... 1-2 1.3 流 力 說... 1-5 1.4... 1-6 1.5 路... 1-7 1.6 連 路說... 1-8 1.7 說... 1-9 1.8 / 說... 1-10 1.9 說... 1-12 1.10........ 1-13 1.11

More information

PIC_SERVER (11) SMTP ( ) ( ) PIC_SERVER (10) SMTP PIC_SERVER (event driven) PIC_SERVER SMTP 1. E-

PIC_SERVER (11) SMTP  ( ) ( ) PIC_SERVER (10) SMTP  PIC_SERVER (event driven)  PIC_SERVER SMTP  1.  E- (2005-02-01) (2005-04-28) PIC_SERVER (10) SMTP E-mail PIC_SERVER (event driven) E-mail PIC_SERVER SMTP E-mail 1. E-mail E-mail 1 (1) (2) (3) (4) 1 1. 2 E-mail A E-mail B E-mail SMTP(Simple Mail Transfer

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

新版 明解C言語入門編

新版 明解C言語入門編 328, 4, 110, 189, 103, 11... 318. 274 6 ; 10 ; 5? 48 & & 228! 61!= 42 ^= 66 _ 82 /= 66 /* 3 / 19 ~ 164 OR 53 OR 164 = 66 ( ) 115 ( ) 31 ^ OR 164 [] 89, 241 [] 324 + + 4, 19, 241 + + 22 ++ 67 ++ 73 += 66

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

C/C++语言 - C/C++数据

C/C++语言 - C/C++数据 C/C++ C/C++ Table of contents 1. 2. 3. 4. char 5. 1 C = 5 (F 32). 9 F C 2 1 // fal2cel. c: Convert Fah temperature to Cel temperature 2 # include < stdio.h> 3 int main ( void ) 4 { 5 float fah, cel ;

More information

epub 33-8

epub 33-8 8 1) 2) 3) A S C I I 4 C I / O I / 8.1 8.1.1 1. ANSI C F I L E s t d i o. h typedef struct i n t _ f d ; i n t _ c l e f t ; i n t _ m o d e ; c h a r *_ n e x t ; char *_buff; /* /* /* /* /* 1 5 4 C FILE

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

C/C++ - 函数

C/C++ - 函数 C/C++ Table of contents 1. 2. 3. & 4. 5. 1 2 3 # include # define SIZE 50 int main ( void ) { float list [ SIZE ]; readlist (list, SIZE ); sort (list, SIZE ); average (list, SIZE ); bargragh

More information

2001/07/13 DST4600A DST4600A

2001/07/13 DST4600A DST4600A 2001/07/13 DST4600A 1... 1 1.1... 1 2 DST4600A... 1 2.1... 1 2.1.1... 1 2.1.2... 2 2.1.3... 2 2.1.4... 2 2.1.5... 3 2.1.6... 3 2.1.7... 3 2.1.8... 3 2.1.9... 4 2.1.10... 4 2.2... 4 2.2.1... 4 2.2.2...

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - Sable User's Manual.doc

Microsoft Word - Sable User's Manual.doc SABLE 刻 字 机 使 用 手 册 1 注 意 GCC 星 云 保 留 在 不 事 先 通 知 的 情 况 下, 修 改 该 使 用 手 册 任 何 内 容 的 权 利! 禁 止 任 何 未 经 允 许 的 修 改 复 制 分 发 或 公 布! 关 于 此 手 册 有 任 何 问 题 或 意 见 请 联 系 您 的 当 地 经 销 商 2 目 录 安 全 操 作 注 意 事 项...5 第 一

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

Microsoft PowerPoint - ds-1.ppt [兼容模式]

Microsoft PowerPoint - ds-1.ppt [兼容模式] http://jwc..edu.cn/jxgl/ HomePage/Default.asp 2 说 明 总 学 时 : 72( 学 时 )= 56( 课 时 )+ 16( 实 验 ) 行 课 时 间 : 第 1 ~14 周 周 学 时 : 平 均 每 周 4 学 时 上 机 安 排 待 定 考 试 时 间 : 课 程 束 第 8 11 12 章 的 内 容 为 自 学 内 容 ; 目 录 中 标 有

More information

电子缝纫机 了解缝纫机 缝制基础知识 产品型号 : 885-V60/V61/V62/V63/V64/V65 实用针迹 附录 欢迎访问 常见问题解答 (FAQs) 在这里可以得到产品的支持和

电子缝纫机 了解缝纫机 缝制基础知识 产品型号 : 885-V60/V61/V62/V63/V64/V65 实用针迹 附录 欢迎访问   常见问题解答 (FAQs) 在这里可以得到产品的支持和 电子缝纫机 了解缝纫机 缝制基础知识 产品型号 : 885-V60/V61/V62/V63/V64/V65 实用针迹 附录 欢迎访问 http://solutions.brother.com 常见问题解答 (FAQs) 在这里可以得到产品的支持和 1 2 3 1 4 5 6 7 2 8 3 ...1 1.... 6... 6... 6... 7... 7... 8... 8... 9... 9...

More information

an153f

an153f 153 2016 1 Linduino Michael Jones (PSM) LTpowerPlay (BMC) PSM PSM PMBus PMBus SMBus SMBus I2C PSM BMC Linduino (API) PSM Linduino PSM BMC BMC Robust PMBus System Software for the LTC3880) I 2 C / SMBus

More information

C/C++语言 - 运算符、表达式和语句

C/C++语言 - 运算符、表达式和语句 C/C++ Table of contents 1. 2. 3. 4. C C++ 5. 6. 7. 1 i // shoe1.c: # include # define ADJUST 7. 64 # define SCALE 0. 325 int main ( void ) { double shoe, foot ; shoe = 9. 0; foot = SCALE * shoe

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

Microsoft Word - FX-T8048C256A.doc

Microsoft Word - FX-T8048C256A.doc FX-T8048C256A 液晶显示控制器使用手册 一 简介...2 二 控制板接口与设置...2 1 控制板外形尺寸:...2 2 与 MPU 的接口 (J2) 引脚定义...3 3 液晶显示接口(J3) 引脚定义...5 三 指令操作说明...6 四 FX-T8048C256A 应用...6 1 直接访问方式...7 2 间接控制方式...8 3 256 色应用程序模块...10 子程序一 :

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

C C

C C C C 2017 3 8 1. 2. 3. 4. char 5. 2/101 C 1. 3/101 C C = 5 (F 32). 9 F C 4/101 C 1 // fal2cel.c: Convert Fah temperature to Cel temperature 2 #include 3 int main(void) 4 { 5 float fah, cel; 6 printf("please

More information

untitled

untitled MA MA50/MA100 ---------------------------------------------------------------------------------------------------3 ---------------------------------------------------------------------------------------------------4

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

2013 C 1 #include <stdio.h> 2 int main(void) 3 { 4 int cases, i; 5 long long a, b; 6 scanf("%d", &cases); 7 for (i = 0; i < cases; i++) 8 { 9 scanf("%

2013 C 1 #include <stdio.h> 2 int main(void) 3 { 4 int cases, i; 5 long long a, b; 6 scanf(%d, &cases); 7 for (i = 0; i < cases; i++) 8 { 9 scanf(% 2013 ( 28 ) ( ) 1. C pa.c, pb.c, 2. C++ pa.cpp, pb.cpp Compilation Error long long cin scanf Time Limit Exceeded 1: A 10 B 1 C 1 D 5 E 5 F 1 G II 5 H 30 1 2013 C 1 #include 2 int main(void) 3

More information